集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 2230|回复: 2

[xilinx zynq]在SDK下如何对zynq的UART外设进行EMIO分配?

[复制链接]
fangliball 发表于 2013-3-22 11:20:25 | 显示全部楼层 |阅读模式
在SDK14.4下,我为zynq顺利完成了UART1口的调试,在这里使用的是MIO引脚输出(为MIO48和MIO49),我调用系统提供的函数Uart_Ps_Tx()就能顺利完成串口发送,底层的函数已经帮助用户完成了引脚配置。

我想将UART0口通过EMIO口引出来,且已经在XPS下完成了相应的引脚配置(这里,XPS能提供的就只是笼统的说是分配到了EMIO引脚,而没能够具体到某一个EMIO引脚),在PlanAhead下的*.ucf文件完成了相关引脚的约束。

在SDK下,我试着用函数XGpioPs_WritePin()直接写某一个EMIO引脚,是能正确写数的。

可是,这里有个问题是:
如果EMIO作为外设UART的TX或是RX,在SDK下,我怎样才能使Uart_Ps_Tx()函数将函数发送到EMIO引脚上去?

即怎样使某个EMIO引脚与TX关联起来?

我想,外设从EMIO引脚引出时,也是有类似的问题的。
fpga_feixiang 发表于 2022-5-27 07:03:03 | 显示全部楼层
6666666666666666666
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-4 22:44 , Processed in 0.064938 second(s), 23 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表