集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 2958|回复: 7

一个fpga的编译软件QII怎么解决?

[复制链接]
赵boy 发表于 2010-9-5 22:26:04 | 显示全部楼层 |阅读模式
我是一个初学者,用QII编写了一段模块代码,然后又编写了一段它的测试模块源代码,这一,两段代码分开编译都没有问题,但是当在同一个工程里编译时就出现了错误。哪位高手能指点一下吗?模块之间怎么调用以及调用的格式?源代码是这样的
1)  module div_2(reset,clk_in,clk_out);
     input clk_in,reset;
     output clk_out;
     reg  clk_out;

     always@(posedge clk_in)
          begin
               if(!reset)
                    clk_out=0;
               else
                    clk_out=~clk_out;
          end
endmodule

2)`timescale 1ns/100ps
`define   clk_cycle 50
module  Verilog2;
reg clk,reset;
wire clk_out;
always  #`clk_cycle  clk=~clk;
initial
           begin
              clk=0;
              reset=1;
              #10 reset=0;
              #110 reset=1;
              #100000  $stop;
           end
   div_2 m0(.reset(reset),.clk(clk),.clk_out(clk_out));
endmodule
njithjw 发表于 2010-9-5 22:45:52 | 显示全部楼层
后面的那段代码是测试代码,是不可以用综合工具进行综合的,只能使用仿真工具进行仿真。Quartus II是只支持可综合语句的,你换成modelsim进行综合应该就没有问题了。
sprone 发表于 2010-9-5 23:20:55 | 显示全部楼层
LS 正解。。。
fenlido 发表于 2010-9-20 17:11:48 | 显示全部楼层
  支持1楼
fenlido 发表于 2010-9-20 17:11:51 | 显示全部楼层
  支持1楼
rainybyf 发表于 2010-9-26 10:13:41 | 显示全部楼层
你第二个程序可以编译?应该不能吧,在quartus2里好像不能编译测试程序吧,不是很清楚,求解
maxizong 发表于 2010-9-27 11:19:55 | 显示全部楼层
1L正解……
weibode01 发表于 2010-11-5 14:03:21 | 显示全部楼层
一楼高手。。。
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-18 19:46 , Processed in 0.103356 second(s), 20 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表