集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 1923|回复: 3

求助!MAX+plus II编译错误

[复制链接]
mylovejune 发表于 2010-9-21 09:11:41 | 显示全部楼层 |阅读模式
新人。。。用MAX+plus II编译VHDL程序的时候出的错,网上查不到解释。。不太明白




哪位大虾能解释下这是哪里出错了吗?

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
sharpwinner 发表于 2010-9-23 14:59:47 | 显示全部楼层
帮顶。。。。。
maxizong 发表于 2010-9-27 11:31:34 | 显示全部楼层
编译器的问题吧
xiaxia23 发表于 2010-10-11 23:40:38 | 显示全部楼层
不懂。。。
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-24 04:04 , Processed in 0.087768 second(s), 21 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表