集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 1638|回复: 1

初学者 急求

[复制链接]
changcomeon 发表于 2010-9-26 20:13:17 | 显示全部楼层 |阅读模式
Verilg的测试代码对模块进行仿真在xilinx里怎么操作啊?还要从新建立一个新的工程吗?还是在xilinx里根本没得这个功能用测试代码来测试模块的功能?有的话那这个测试代码的效果和xlinxben本身产生的那个testbench(new sourse里添加的)test.tbw仿真的效果是一样的吗?若不是那有什么优势呢?
sihaiguoxin 发表于 2010-9-26 23:44:41 | 显示全部楼层
测试文件可以直接在xilinx里编写,就是select source type 里选择 verilog test fixture,或者testbench waveform,前者通过直接输入激励来进行测试,或者通过编辑波形来进行,一般说来前者较后者容易
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-18 18:09 , Processed in 0.076231 second(s), 20 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表