集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 3075|回复: 3

编程错误10170,哪个大虾帮我看看啊

[复制链接]
yf210yf 发表于 2010-10-20 21:08:35 | 显示全部楼层 |阅读模式
module 4_4_key(row,lin,clk,reset,LED);

input clk;
input lin;
input reset;
output row;
output LED;

reg [3:0] row;
reg [3:0] lin;
reg [15:0] counter;
reg [7:0] LED;
reg clk_20ms;
reg [7:0] rowlin;

always@(posedge clk)                                       
        begin
                if(reset)
                        begin
                                counter<=0;
                                clk_20ms<=0;
                        end
                else
                        begin
                               
                                if(counter==16'b1001_1100_0100_0000)
                                        begin
                                                clk_20ms<=1;
                                                counter<=0;
                                        end
                                else
                                        begin
                                                counter<=counter+1;
                                                clk_20ms<=0;
                                        end
                        end
        end

assign rowlin = {row[3:0],lin[3:0]}; //将行值和列值输出

always@(posedge clk,negedge clk)
        begin
                row<=4'b0000;
                lin<=4'b1111;
               
                if(rowlin!=8'b0000_1111)
                        begin
                                LED<=8'b1111_0000;
                        end
        end

endmodule

Error (10170): Verilog HDL syntax error at 4_4_key.v(1) near text "44";  expecting an identifier
这是报错,小弟最近新学FPGA,希望大家给些指导啊~~~!!!!
 楼主| yf210yf 发表于 2010-10-20 21:09:37 | 显示全部楼层
在线等啊!!!
njithjw 发表于 2010-10-20 22:14:29 | 显示全部楼层
回复 2# yf210yf


错误太多了,不知道你想实现的是什么功能。
首先,端口的命名不能以数字开头,只能以字母或者下划线。
2. 输入端口不能命名为reg型变量;
3.assign 赋值语句只能对wire型变量。
 楼主| yf210yf 发表于 2010-10-20 22:37:13 | 显示全部楼层
回复 2# yf210yf


    谢谢 解答 小弟初学 很多地方不会 问题已解决 呵呵
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-18 19:22 , Processed in 0.065640 second(s), 19 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表