集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 1446|回复: 0

分频程序

[复制链接]
lichangyun 发表于 2010-10-21 11:22:13 | 显示全部楼层 |阅读模式
哪位高手帮忙看一下,下面的程序是如何实现的
entity divclk is
port(
     clk : in std_logic;     ------50MHZ
          clk400 : out std_logic;    -------400KHZ
          scanclk : out std_Logic
          );
end divclk;

architecture Behavioral of divclk is

begin

process(clk)
variable count : std_logic_vector(19 downto 0):=X"00000";
begin
if(rising_edge(clk))then
   count:=count+1;
end if;
clk400<=count(2);
scanclk<=count(12);
end process;

end Behavioral;
如何从50MHZ分到400K的呢?
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-18 19:47 , Processed in 0.064869 second(s), 19 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表