entity crltime is
port(clk :in std_logic;
s:in std_logic;
begn,cbegnut std_logic:='1');
end crltime;
architecture Behavioral of crltime is
signal cnt:integer range 0 to 50000500;
-- signal statu: std_logic_vector(1 downto 0);
begin
process(clk)
begin
if rising_edge(clk) then
if s='0' then cbegn<='0';
elsif cnt=50000499 then
begn<='0';cnt<=0;cbegn<='1';
elsif cnt=49999999 then begn<='1';
else
cnt<=cnt+1;
end if;
end if;
end process;
end Behavioral;
综合后提示
Xst:2677 - Node <u1/cnt_25> of sequential type is unconnected in block <top>.
还有begn 和cbegn也是这个提示 没和顶层文件链接上 怎么改