集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 2107|回复: 0

modelsim 仿真没有输出

[复制链接]
天蝎诀 发表于 2010-10-28 20:06:59 | 显示全部楼层 |阅读模式
module vote7(vote,pass);
input    [6:0] vote;
output         pass;

reg       [2:0] sum;
integer    i;
reg        pass;
always     @(vote)
     begin
       sum=0;
     for(i=0;i<=6;i=i+1)
        if(vote[i])  sum=sum+1;
        if(sum[2])   pass=1;
        else         pass=0;
     end
endmodule        
上面是我的程序  
用  add  wave -hex *之后  wave表中只有vote 信号 没有输出信号 pass
怎么回事啊  
请高手指点一下哦
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-9 07:20 , Processed in 0.061940 second(s), 23 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表