集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 2184|回复: 2

谁能教教我怎样用VHDL产生个1Hz的脉冲啊

[复制链接]
I2C 发表于 2010-11-1 21:32:44 | 显示全部楼层 |阅读模式
谁能教教我怎样用VHDL产生个1Hz的脉冲啊
fisher 发表于 2011-9-28 15:10:51 | 显示全部楼层
很简单啊!用一个计数器,对CLK计数就行了!
snowinmoon 发表于 2011-9-29 13:54:16 | 显示全部楼层
如果你有一个“a”hz的信号源,那就进行计数“a”个进行分频啊
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-10 17:24 , Processed in 0.069795 second(s), 24 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表