集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 1464|回复: 0

基于初学Verilog者。。三线---八线译码器参考程序

[复制链接]
weibode01 发表于 2010-11-5 14:45:21 | 显示全部楼层 |阅读模式
三线---八线译码器参考程序:
module exam38(a,b,c,y,en);
input          a,b,c,en;
output[7:0]    y;
reg[7:0]       y;
always      @(en or a or b or c)
          begin     
     if(en)    y=8'b11111111;
        else
                  begin
                        case({c,b,a})
                 3'b000:   y<=8'b11111110;
                 3'b001:   y<=8'b11111101;
                                  3'b010:      y<=8'b11111011;
                                  3'b011:      y<=8'b11110111;
                                  3'b100:      y<=8'b11101111;
                 3'b101:   y<=8'b11011111;
                 3'b110:   y<=8'b10111111;
                 3'b111:   y<=8'b01111111;
                        endcase
                end
        end
endmodule
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-24 07:56 , Processed in 0.083878 second(s), 19 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表