集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 1876|回复: 2

Quartus同modelsim联合仿真遇到问题

[复制链接]
ccs 发表于 2010-11-18 12:11:43 | 显示全部楼层 |阅读模式
Quartus同modelsim联合仿真遇到问题
 楼主| ccs 发表于 2010-11-18 12:12:03 | 显示全部楼层
Quartus 8,语言用vhdl,用modelsim进行时序仿真前,已经将.vhd文件,cyclone_atoms.vhd,以及激励文件拷到modelsim目录下了,但仿真提示找不到cyclone的库文件。同学用verilog的,用同样的方式,只是cyclone_atoms.vhd改为cyclone_atoms.v,就可以仿真
 楼主| ccs 发表于 2010-11-18 12:12:27 | 显示全部楼层
推建modelsim ae吧,然后把ae中的库文件拷到se里就ok了
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-22 22:21 , Processed in 0.078692 second(s), 23 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表