集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 1905|回复: 1

verilog 怎么实现循环嵌套

[复制链接]
xuqipeng 发表于 2010-11-19 14:18:37 | 显示全部楼层 |阅读模式
for (j=1;j<8;j++)

{
    for(i=1;i<8;i++)

{
     a[i][j]=b[i][j]+c[i][j]}

}

}

a b c 是赋了初值的数组    ,这个怎么用verilog表示 请高手指点下 谢谢!
weibode01 发表于 2010-11-19 14:41:45 | 显示全部楼层
直接用这个不行吗?
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-23 15:14 , Processed in 0.095202 second(s), 23 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表