集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 3086|回复: 6

求助一个Verilog编程问题,请大家帮忙一下,急用,拜托了

[复制链接]
迷の傀儡师 发表于 2010-11-27 14:20:27 | 显示全部楼层 |阅读模式
用verilog语言描述电路,实现把lena图像(也可以是其他图像)(256*256像素)顺时针旋转90度。
至少分成两个模块来实现
任务提示:
了解BMP位图的格式,verilog中所写的要能testbench中读写BMP文件中的像素点阵。
了解数字集成电路的设计与验证的一般方法
进行模块划分,可以分成三个以上的模块,一个专门负责按时钟节拍读取数据,然后数据经过缓存之后逆时针旋转90度输出。
如有必要,可以用C语言针对该原始图像提出二进制数据转化为文本型数据再存储成数据文件,供verilog模块调用。
参考方案之一如下图


同时最好有原代码与仿真结果,如果谁会的话可以帮一下忙么,如果有类似的资料模板也可以的,很着急啊...万分感谢TUT

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| 迷の傀儡师 发表于 2010-11-27 19:27:15 | 显示全部楼层
TUT没人能帮我么...呜呜呜...
weibode01 发表于 2010-11-28 08:14:28 | 显示全部楼层
图像处理,没学过
 楼主| 迷の傀儡师 发表于 2010-11-30 20:27:18 | 显示全部楼层
这样么TUT还是谢谢回答了
weibode01 发表于 2010-12-1 19:48:54 | 显示全部楼层
还没有高手来吗
hunao2000 发表于 2010-12-14 19:13:07 | 显示全部楼层
图像旋转90度还比较好办

按[y][x] 存到缓存,
顺时针 再按[x][~y]读取就行了
逆时针 再按[~x][y]读取就行了
兜里有糖糖 发表于 2010-12-31 15:24:11 | 显示全部楼层
都知道 思路了 怎么不写出来 让大家帮你改改?
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-19 17:23 , Processed in 0.085845 second(s), 24 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表