集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 3009|回复: 5

verilog 怎么实现exp函数

[复制链接]
xuqipeng 发表于 2010-12-17 15:14:36 | 显示全部楼层 |阅读模式
verilog 怎么实现exp函数
pzc007 发表于 2010-12-17 17:23:27 | 显示全部楼层
可以用插值多项式,查找表,........
polozpt 发表于 2011-3-26 21:43:26 | 显示全部楼层
回复 1# xuqipeng


    同问?
heilaoshi 发表于 2011-3-28 12:39:11 | 显示全部楼层
我也需要啊,高手进来啊
jieliuchina 发表于 2011-3-28 23:32:44 | 显示全部楼层
在信号处理中,exp(jx), x is angle
可以转化成三角函数,exp(jx) = cos(x) + j*sin(x) 的形式 x = [0 2*pi]
这样就可以预先把所有的值计算出来,放在RAM里边,用x的值做地址来读RAM就行了
在VHDL XILINX,有两种方法:
1, 在MATLAB里边算好,写成MIF (or dat) 文件,然后load进RAM
2, 用impure function在VHDL里直接计算给ROM赋值,用泰勒级数公式。(我一直都用这方法,方便一些)

verilog我不会,但原理是一样的
jieliuchina 发表于 2011-3-28 23:33:04 | 显示全部楼层
在信号处理中,exp(jx), x is angle
可以转化成三角函数,exp(jx) = cos(x) + j*sin(x) 的形式 x = [0 2*pi]
这样就可以预先把所有的值计算出来,放在RAM里边,用x的值做地址来读RAM就行了
在VHDL XILINX,有两种方法:
1, 在MATLAB里边算好,写成MIF (or dat) 文件,然后load进RAM
2, 用impure function在VHDL里直接计算给ROM赋值,用泰勒级数公式。(我一直都用这方法,方便一些)

verilog我不会,但原理是一样的
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-18 19:58 , Processed in 0.086039 second(s), 20 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表