集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 3025|回复: 3

vhdl 2进制转换成10进制

[复制链接]
ccs 发表于 2010-12-19 04:30:13 | 显示全部楼层 |阅读模式
vhdl 2进制转换成10进制
 楼主| ccs 发表于 2010-12-19 04:30:32 | 显示全部楼层
VHDL二进制转十进制?
 楼主| ccs 发表于 2010-12-19 04:30:43 | 显示全部楼层
CONV_INTEGER()
lcawen 发表于 2010-12-30 22:41:47 | 显示全部楼层
加上库use std_logic.unsigned.all之后,可以直接把我们定义的标准逻辑类型的信号或者变量和十进制数进行加减等操作。
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-17 16:35 , Processed in 0.073146 second(s), 22 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表