集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 2048|回复: 5

求救啦,verilog时钟

[复制链接]
heracles1 发表于 2010-12-25 23:06:12 | 显示全部楼层 |阅读模式
  1. `timescale 10ns/100ps
  2. module TEST(Clk);
  3. output Clk;
  4. reg Clk;
  5.         initial
  6.         begin
  7.         Clk = 1;
  8.         end
  9.        
  10.         always @(Clk)
  11.         #10 Clk = ~Clk;
  12.        
  13. endmodule
复制代码
怎么总是不能输出时钟波形啊,我用的q ii。谢谢啦!!!
 楼主| heracles1 发表于 2010-12-25 23:07:51 | 显示全部楼层
没人吗,呼唤大神啊~~
njithjw 发表于 2010-12-26 19:09:30 | 显示全部楼层
`timescale 10ns/100ps
module TEST(Clk);
output Clk;
reg Clk;
        initial
        begin
        Clk = 1;
        end
        
        always
        #10 Clk = ~Clk;
        
endmodule
kelly07050505 发表于 2010-12-28 09:59:37 | 显示全部楼层
`timescale 10ns/100ps
module TEST;
wire Clk;
        initial
        begin
        Clk = 1;
        end
        
        always
        #10 Clk = ~Clk;
        
endmodule
wangxia6112 发表于 2010-12-28 10:55:27 | 显示全部楼层
`timescale 10ns/100ps
module test;
reg clk;

always #10 clk=~clk;

initial
begin
    clk=1;
end

endmodule
wangxia6112 发表于 2010-12-28 10:56:13 | 显示全部楼层
看看verilog顶层模块的编写部分,你就明白了。
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-17 16:03 , Processed in 0.154797 second(s), 19 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表