集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 1818|回复: 2

Altera的时序约束问题

[复制链接]
lzxylwq 发表于 2011-1-5 18:34:22 | 显示全部楼层 |阅读模式
有一个62.5Mhz的时钟clk_62M,我用语句 assign         clk_62M_inv = ~clk_62M;生成一个反向时钟。
时钟clk_62M和clk_62M_inv的周期是16ns,我希望把这两个时钟的上升沿的时间约束在7.5-8.5ns之间?
该如何写约束呢?我用的是Altera的TimeQuest。谢谢
guojun 发表于 2011-1-5 22:06:42 | 显示全部楼层
理论上应该写SDC 文件,具体不晓得
 楼主| lzxylwq 发表于 2011-1-6 11:30:13 | 显示全部楼层
是SDC文件,但该用什么约束命令呢?
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-17 17:25 , Processed in 0.067958 second(s), 19 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表