集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 2074|回复: 2

求verilog的跑马灯程序

[复制链接]
feiyanglove 发表于 2011-1-17 10:24:43 | 显示全部楼层 |阅读模式
控制8个LED进行花样性显示。设计4种显示模式:s0,从左到右逐个点亮LED;s1,从右到左逐个点亮LED;s2,从两边到中间逐个点亮LED;s3,从中见到两边逐个点亮LED。4种模式循环切换,复位键(rst)控制系统的运行停止。
wolfson 发表于 2011-5-8 08:34:51 | 显示全部楼层
网上例子很多,可以找一找
luckymiaojw 发表于 2011-5-9 22:59:33 | 显示全部楼层
这种程序一定要自己写,很多人都是从这个程序入手的
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-21 02:23 , Processed in 0.159555 second(s), 20 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表