集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 2283|回复: 1

把quartus ii里一个verilog文件变成了一个可以在原理图文件里的可以调用的模块

[复制链接]
vvt 发表于 2011-1-28 08:19:03 | 显示全部楼层 |阅读模式
把quartus ii里一个verilog文件变成了一个可以在原理图文件里的可以调用的模块,请问如何把它放进元件库里
 楼主| vvt 发表于 2011-1-28 08:19:15 | 显示全部楼层
我把一个verilog文件转成一个可以在原理图中调用的块,我把整个工程的文件夹复制进了用原理图的那个文件里,在原理图设计时用到了那个模块,但编译时出现了下面错误,不知为何,求解。
-------------------------------
Error: Node instance "inst3" instantiates undefined entity "BUSMUX1"
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-17 16:37 , Processed in 0.099943 second(s), 19 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表