集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 2693|回复: 4

在vhdl中 如何在不同进程中给同一信号赋值 急 !!!!!

[复制链接]
li054755 发表于 2011-2-11 11:01:48 | 显示全部楼层 |阅读模式
求助 :在vhdl中 如何在不同进程中给同一信号赋值 急 !!!!!
 楼主| li054755 发表于 2011-2-11 11:03:16 | 显示全部楼层
我用的是xilinx的 ISE 10.1  想实现一个片子里发六路脉冲 出来 需要定时
 楼主| li054755 发表于 2011-2-11 11:07:11 | 显示全部楼层
[img][/img]该图中

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| li054755 发表于 2011-2-11 11:09:52 | 显示全部楼层
上图中 g1 - - g6 发六路脉冲  clk1 300hz ,  clk2 500k hz  din(in)  输入的是技术值 :
njithjw 发表于 2011-2-11 22:31:10 | 显示全部楼层
综合器里面应该是不支持多个进程对同一信号进行赋值的。
可以尝试一下用其它的方法实现
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-17 16:34 , Processed in 0.074458 second(s), 24 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表