集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 1251|回复: 1

新手请指教

[复制链接]
mickysu300 发表于 2011-3-19 23:09:19 | 显示全部楼层 |阅读模式
刚开始学FPGA,请各位F友多多指教。欢迎各位留言交流经验
 楼主| mickysu300 发表于 2011-3-20 14:35:10 | 显示全部楼层
我编了个小代码,仿真时出现竞争与冒险问题。有何解决办法不?高手一般是如何处理竞争与冒险的
library ieee;
        use ieee.std_logic_1164.all;
        use ieee.std_logic_unsigned.all;
--------------------------------------------------------
entity myFullAdder4 is
        port(a,b:in std_logic_vector(3 downto 0);
                c0:in std_logic;
                c4ut std_logic;
                sut std_logic_vector(3 downto 0));
end myFullAdder4;
--------------------------------------------------------
architecture behavior of myFullAdder4 is
        signal cc:std_logic_vector(3 downto 0);
        signal ss:std_logic_vector(4 downto 0):="00000";
begin
        cc<=(0=>c0,others=>'0');
        ss<=('0'&a)+('0'&b)+('0'&cc);
        s<=a + b + cc;
        c4<=ss(4);
end behavior;
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-18 16:44 , Processed in 0.069787 second(s), 19 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表