集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 4286|回复: 6

modelsim仿真产生的数据怎样存到txt文档里?

[复制链接]
ccs 发表于 2011-3-20 16:51:56 | 显示全部楼层 |阅读模式
modelsim仿真产生的数据怎样存到txt文档里?
jieliuchina 发表于 2011-3-24 05:44:48 | 显示全部楼层
在test bench里 写到txt  file
jieliuchina 发表于 2011-3-24 05:45:06 | 显示全部楼层
在test bench里 写到txt文件
jlf526 发表于 2011-5-15 19:14:31 | 显示全部楼层
感谢楼主感谢楼主感谢楼主感谢楼主感谢楼主感谢楼主
至芯兴洪 发表于 2011-5-15 20:22:20 | 显示全部楼层
integer data_out;//定义一个文件名为data_out的文件
data_out=$fopen("./data_out.txt");//打开文件data_out;
$fdisplay(data_out,"data_out from %b,%t",out,$time);/数据写入文件,写入的数据是输出数据out。$time 表示仿真时刻输出数据out的值
$fclose(data_out);最后关掉文件data_out.
s00d45 发表于 2011-5-26 15:40:57 | 显示全部楼层
LS这位太详细了。。。哈哈
liuqingqian 发表于 2011-7-13 15:51:46 | 显示全部楼层
真是感谢啊~
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-6-17 20:36 , Processed in 0.069247 second(s), 24 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表