集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 1435|回复: 1

求助 怎样用VHDL语言实现占空比可调

[复制链接]
feizao13 发表于 2011-3-29 09:31:06 | 显示全部楼层 |阅读模式
RT     求教     VHDL语言实现占空比可调
roger7313 发表于 2011-3-29 15:18:34 | 显示全部楼层
這個pwm就可以處理了
去google或百度一下pwm vhdl就有了
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-18 17:13 , Processed in 0.069975 second(s), 21 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表