集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 873|回复: 0

清风陪你学FPGA

[复制链接]
清风403 发表于 2015-9-9 20:28:03 | 显示全部楼层 |阅读模式
本帖最后由 清风403 于 2015-9-9 20:36 编辑

        各位朋友大家好,来到至芯学习已经有一段时间了,在这里想分享一下自己在学习FPGA这条路上的一些心得与看法,希望大家与我一起度过这为期三个月的就业培训课程,由于我也是一个初学者,所以标题就定为清风陪你学FPGA,如果帖子中什么不对的地方也希望大家指出来,让我们一同努力和进步。

        好了,话不多说,我来开始我第一个实验:点亮第一个LED。

        相信学习过编程的人都知道,无论是什么语言的入门,都会教大家写一个“Hello World!”程序。其实在我们学习单片机,FPGA,嵌入式的时候也是一样的,也会有一个入门的代码,那就是:流水灯,但是在说流水灯之前呢,我还是先说一下有关LED灯的相关知识以及如何在FPGA上点亮一盏LED灯。

        LED就是大家知道的发光二极管,通常情况下它的导通电压在1.8V到2.2V之间,工作电流在1mA~20mA之间,当电流大于20mA的时候就有烧毁LED的危险(大家有LED的可以试一下,直接拿着LED引脚连接一块5v的电池,你会看到LED闪一下然后就不亮了,然后你仔细看一下LED,中间的PN结会变成黑色的),一般情况下,我们都会在LED电源与LED之间串联一个电阻,电阻的计算公式大概是(VCC-2)/I,根据这个关系我们可以得到电阻的阻值大概在150欧姆~3000欧姆,这个公式虽然简单,但是我见过很多学习单片机或者FPGA的人都不清楚,为什么LED要串联一个几百欧姆的电阻问题。

        既然是硬件电路,那么肯定会涉及到硬件设计的问题,而一个简单的LED电路,也分为两种:第一种(图1)就是LED正极与VCC相连,另一端接电阻后接入到芯片的输入引脚;
第二种(图二)是LED正极接在芯片的引脚上,负极接电阻接地。一般情况下考虑到引脚驱动电流的问题,我们采用第一种方式驱动LED,即引脚输出低电平,该电路导通,LED被点亮。

        好了,既然我们已经清楚了LED电路的组成,那么现在我们就可以着手来点亮第一个LED了,有关Verilog语言语法的相关知识我不多说,因为我自己的理解也不是很透彻,我建议大家看看夏宇闻老师的《Verilog数字系统设计教程》。       
        既然我们要点灯,那么只要将与LED相连的FPGA的相关引脚拉低就可以了。
代码如下(图3):

        我用的编译环境是QuartusII11.0,开发板是至芯ZX_1学习板。
下图是我的下载结果(图4):

        大家可以看到LED已经被点亮。
        好了今天的分享就到这里了。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-19 12:37 , Processed in 0.067634 second(s), 20 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表