请选择 进入手机版 | 继续访问电脑版

集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
楼主: zhiweiqiang33

FPGA是什么?FPGA人才需求现状?如何学习FPGA?

[复制链接]
小丽呀小丽 发表于 2017-12-24 21:00:27 | 显示全部楼层
FPGA前景很不错的,身边朋友参加培训的现在都发展得很好
 楼主| zhiweiqiang33 发表于 2017-12-26 14:23:25 | 显示全部楼层
学习了 看看
Esmiamor 发表于 2018-3-15 17:36:29 | 显示全部楼层
除了学习编程语言以外,更重要的是实践
Python029 发表于 2018-6-11 11:04:11 | 显示全部楼层
资料很好,很实用,谢谢分享
Python029 发表于 2018-7-5 11:11:57 | 显示全部楼层
随着国家在集成电路产业领域的战略布局,集成电路领域方面的人才成为国家急需的高层次技术人才,为此至芯科技于7月14号开设“FPGA工程师技术应用与案例实战就业”培训班。本次对前沿基于FPGA学习方法及应用进行全面的讲解,同时进行深入的案例实践,帮助参加学员系统性的学习应用、利用项目框架式进行学习具体的项目开展,结合应用案例使学员从所学理论到实践解决问题的理论和工程相结合的能力。
至芯科技(西安)公司:
联系电话:支老师-15529580559(电话/微信同步)
咨询QQ:2793725733;
通讯地址:
北京中心: 顺义区后沙峪安富街 8 号中景江山赋 1 号商业楼 330;
西安中心: 西安市高新区唐延南路 11 号逸翠园 i 都会 1 栋 1 门 403;
Python029 发表于 2018-7-17 09:38:44 | 显示全部楼层
随着IC集成电路的继续增长,基于IC人才紧缺量很大,目前高校都在大量招收理工科此专业的学科专业,,,,,,,,,,,,
刘逢丁 发表于 2018-8-4 23:41:00 来自手机 | 显示全部楼层
路漫漫其修远兮
Python0291 发表于 2018-9-21 16:16:29 | 显示全部楼层
/////////////////////////////////////////////////
UART的Verilog hdl实现及计算机辅助调试
http://www.fpgaw.com/thread-111880-1-1.html

PCI总线协议的FPGA实现及驱动设计
http://www.fpgaw.com/thread-111879-1-1.html

PCI数据采集卡的DMA和中断实现
http://www.fpgaw.com/thread-111878-1-1.html

PCI接口DMA传输方式的FPGA实现
http://www.fpgaw.com/thread-111876-1-1.html

FPGA技术在数据通讯中的应用
http://www.fpgaw.com/thread-111875-1-1.html

静态时序分析与逻辑设计
http://www.fpgaw.com/thread-111874-1-1.html

夏宇闻老师数字逻辑设计
http://www.fpgaw.com/thread-111873-1-1.html

//////////////////////////////////////////////////
基于FPGA cpld设计实现的uart
http://www.fpgaw.com/thread-111897-1-1.html
基于FPGA串口通信的开发
http://www.fpgaw.com/thread-111898-1-1.html
基于FPGA的DMA传输设计与实现
http://www.fpgaw.com/thread-111899-1-1.html
基于FPGA的pci接口简化设计
http://www.fpgaw.com/thread-111900-1-1.html
基于FPGA的pci总线接口设计
http://www.fpgaw.com/thread-111901-1-1.html
基于FPGA的多串口扩展实现
http://www.fpgaw.com/thread-111902-1-1.html
基于FPGA的高速PCI采集卡设计
http://www.fpgaw.com/thread-111903-1-1.html
zxopenljx 发表于 2019-12-24 16:19:32 | 显示全部楼层
FPGA是什么?FPGA人才需求现状?如何学习FPGA?
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-3-29 21:19 , Processed in 0.071567 second(s), 17 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表