集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 3752|回复: 9

十分频器的fpga程序

[复制链接]
TCL 发表于 2010-4-16 14:00:35 | 显示全部楼层 |阅读模式
library IEEE;
use IEEE.STD_LOGIC_1164.all;

entity count10 is
  port( clr : in STD_LOGIC;
        clk : in STD_LOGIC;
            co : out STD_LOGIC;
      y0 : out STD_LOGIC_VECTOR(3 downto 0));
end count10;

architecture beh1 of count10 is
signal cnt:integer range 0 to 9 :=0;
begin
process(clk,clr)
begin
  if(clr='1')then
   cnt<=0;
  else
   if(clk'event and clk='1')then
        if cnt=9 then
     cnt<=0;
                  co<='1';
        else
        cnt<=cnt+1;
                  co<='0';         
          end if;
   end if;     
     end if;     
end process;
y0<="0000" when cnt=0 else
   "0001" when cnt=1 else
   "0010" when cnt=2 else
   "0011" when cnt=3 else
   "0100" when cnt=4 else
   "0101" when cnt=5 else
   "0110" when cnt=6 else
   "0111" when cnt=7 else
   "1000" when cnt=8 else
   "1001" when cnt=9 else
   "0000";
end beh1;
 楼主| TCL 发表于 2011-7-1 15:02:47 | 显示全部楼层
十分频器的fpga程序
liujilei311 发表于 2011-8-4 16:52:07 | 显示全部楼层
恩,好帖,只要修改一下参数,就能实现任意分频!!!!!!!!
beyond5165897 发表于 2011-8-17 22:17:19 | 显示全部楼层
这个貌似是VHDL吧!
snowinmoon 发表于 2011-8-18 09:09:12 | 显示全部楼层
终于看见个VHDL了,但是楼主,这么写不麻烦嘛??
liujilei311 发表于 2011-8-18 09:50:00 | 显示全部楼层
我一直在用VHDL,看着很亲切啊,呵呵,只要修改一下参数,就能实现相应的分频!!!!!
pengdan0905 发表于 2011-8-18 11:17:04 | 显示全部楼层
学习verilog的飘过
jiaofang 发表于 2011-8-18 23:49:40 | 显示全部楼层
thank you for very much!
alec405 发表于 2012-1-10 09:14:46 | 显示全部楼层
只是不知楼主末尾转换时为何?
alec405 发表于 2012-1-10 11:13:42 | 显示全部楼层
难道说是译码管显示?
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-18 19:45 , Processed in 0.080003 second(s), 19 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表