集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 2231|回复: 1

求大神帮忙解决问题,为什么我的仿真和程序不对照

[复制链接]
打铁小肥罗 发表于 2016-7-31 21:30:03 | 显示全部楼层 |阅读模式
我做的是数码管实验,让6个数码管交替点亮的频率达到1000hz,达到全部点亮的效果。下面是我的程序
module smg(clk,rst_n,sel,seg);
        input clk;
        input rst_n;
       
        output reg [2:0]sel;
        output reg [7:0]seg;
       
        reg [15:0]count;
        reg clk1;
       
        parameter T=500000;
       
        always@(posedge clk or negedge rst_n)//分频器模块
                begin
                        if(!rst_n)
                                begin
                                        count<=0;
                                        clk1<=0;
                                end
                        else
                                begin
                                        count<=count+16'd1;
                                        if(count<T)
                                                begin
                                                        clk1=clk1;
                                                end
                                        else
                                                begin
                                                        clk1=~clk1;
                                                        count<=0;
                                                end
                                end
                end
       
        always@(posedge clk1 or negedge rst_n)
                begin
                        if(!rst_n)
                                begin
                                        sel<=3'b111;
                                        seg<=8'b1111_1111;
                                end
                        else
                                begin
                                        sel<=sel+3'b1;
                                        case(sel)
                                                3'b000:begin seg<=8'b1111_1001;end//1
                                                3'b001:begin seg<=8'b1010_0100;end//2
                                                3'b010:begin seg<=8'b1011_0000;end//3
                                                3'b011:begin seg<=8'b1001_1001;end//4
                                                3'b100:begin seg<=8'b1001_0010;end//5
                                                3'b101:begin seg<=8'b1000_0010;end//6
                                                default:begin sel<=3'b000;end
                                        endcase
                                end
                end




endmodule

仿真图片在工具栏上传了


仿真中,sel对应的seg和程序中完全不一样,求大神指点



本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
大鹏 发表于 2019-1-19 16:41:30 | 显示全部楼层
现在解决了吗?
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-4-26 19:30 , Processed in 0.062829 second(s), 20 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表