longtime 发表于 2010-6-26 01:18:09

异步

本帖最后由 fpgaw 于 2010-7-18 09:54 编辑

module async_resetFFstyle (q, d, clk, rst_n);
output q;
inputd, clk, rst_n;
regq;
// Verilog-2001: permits comma-separation
// @(posedge clk, negedge rst_n)
always @(posedge clk or negedge rst_n)
if (!rst_n) q <= 1'b0;
elseq <= d;
endmodule
为什么说上面代码实现异步复位?
always @(posedge clk )
if (!rst_n) q <= 1'b0;
elseq <= d;
这样就是同步了

UFO 发表于 2010-6-26 01:30:14

是的,我觉得是这样

HDL 发表于 2010-6-26 02:04:52

看下敏感事件列表的东西就知道了

AAT 发表于 2010-6-26 03:11:15

Asynchronous Circuit Design.rar

UFP 发表于 2010-6-26 03:41:09

异步电路设计 Asynchronous Circuit Design.part1.rar

ups 发表于 2010-6-26 03:44:14

异步电路设计 Asynchronous Circuit Design.part2.rar

ICE 发表于 2010-6-26 04:37:55

fft_高速傅立叶变换的vhdl源代码_可以综合.rar&nbsp; &nbsp;&nbsp; &nbsp;&nbsp;&nbsp;<br>
WORD使用技巧100招.rar

HANG 发表于 2010-6-26 06:18:09

只有一个时钟称之为同步

CHAN 发表于 2010-6-26 07:48:26

只能有一个时钟沿变量在always块中

ups 发表于 2010-6-26 07:55:40

如果所有信号都在有效时钟沿起作用,就是同步,反之是异步,敏感变量表就是用来表明有效沿或电平的,所以如果只有一个时钟,则是同步,反之亦然
页: [1] 2
查看完整版本: 异步