集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
收藏本版 (8) |订阅

FPGA技术交流 今日: 0|主题: 12955|排名: 5 

作者 回复/查看 最后发表
预览 modelsim使用求助 attach_img fantastic88 2012-4-4 41345 tjy389945230 2012-4-9 13:21
预览 modelsim仿真出错 attach_img tjy389945230 2012-4-7 21073 tjy389945230 2012-4-9 13:19
预览 请问谁用过AES-V5FXT-EVL30-G,求指教 peaking 2012-4-8 0914 peaking 2012-4-8 22:26
预览 Altera CPLD MAX V不能生成POF编程文件 paulllc 2012-4-8 0860 paulllc 2012-4-8 20:12
预览 要是创建多个VHD文件的时候,配置引脚的时候是每个VHD都要配置,还是只配置头文件? vvt 2012-4-8 1886 vvt 2012-4-8 15:37
预览 要是创建多个VHD文件的时候,配置引脚的时候是每个VHD都要配置,还是只配置头文件? vvt 2012-4-8 0864 vvt 2012-4-8 15:03
预览 我的板子用jtag下载sof文件时有时能成功,有时不能成功啊 vvt 2012-4-8 31239 vvt 2012-4-8 15:01
预览 关于时序约束 dingyinfu 2012-4-8 0976 dingyinfu 2012-4-8 10:22
预览 Altera FPGA ROM 无法初始化是什么原因,有人遇到过么? IPO 2012-2-16 21693 beyondlin 2012-4-8 10:08
预览 FPGA设计的电子时钟 wangguojun 2012-4-7 01111 wangguojun 2012-4-7 21:19
预览 请教一个 关于延时输出的问题 attach_img fantastic88 2012-3-29 83349 fantastic88 2012-4-7 20:28
预览 有哪位在FPGA上用过wifi模块嘛? ccs 2012-4-5 01758 ccs 2012-4-5 09:07
预览 模块调用问题。 开心果 2012-4-3 43598 开心果 2012-4-4 20:36
预览 模块不能调用,总说unexpected token fantastic88 2012-3-21 11367 fantastic88 2012-4-4 16:59
预览 额 高手帮下忙 lichenhui12 2012-4-4 01006 lichenhui12 2012-4-4 10:58
预览 quartus ii中如何在项目导航中加入QSYS文件 lijingcsu 2012-4-3 01035 lijingcsu 2012-4-3 16:02
预览 夏老师,怎么根据verilog代码知道他的系统内部结构呢? 钟方兵 2012-4-3 0955 钟方兵 2012-4-3 15:18
预览 FPGA配置芯片用FLASH还是SRAM比较好 ccs 2012-2-20 31326 ChenDongKui 2012-4-2 22:20
预览 modelsim仿真时出现 ccc 2012-3-9 11421 bigbigwolf513 2012-4-2 22:16
预览 双口ram中读数据时读的时候地址中数据来不及更换 vvt 2012-3-17 21067 bigbigwolf513 2012-4-2 22:13
预览 Verilog波形仿真怎样避免毛刺 fpga 2010-10-15 53606 ChenDongKui 2012-4-2 22:05
预览 哪位做过基于FPGA函数信号发生器(频率和相位可调,VHDL语言编写的)的前辈 ddd 2012-3-12 11511 ChenDongKui 2012-4-2 21:06
预览 sram控制模块 404549377 2012-3-21 11275 ChenDongKui 2012-4-2 20:56
预览 FPGA128M的时钟,查表产生70M的正弦波,理论上一个周期还不到两个点 ddd 2012-3-22 11432 ChenDongKui 2012-4-2 20:49
预览 modelsim仿真求助 ,调用了altpll和altgx attachment tjy389945230 2012-4-2 01164 tjy389945230 2012-4-2 19:49
预览 请教altgx的作用 attachment  ...2 tjy389945230 2012-3-14 136470 tjy389945230 2012-4-2 19:44
预览 PCB打样 单双面板50元起 四层板200元起(全测试)交期准,加急可24小时出货 luoxiao1116 2012-4-1 0887 luoxiao1116 2012-4-1 11:16
预览 wire [7:0] #(3,4) f = enable ? data : 8'bz;这句话是啥意思啊? ccc 2012-3-31 21166 zombes 2012-3-31 21:27
预览 quartusII 10.1 license zxw359073768 2012-3-31 0982 zxw359073768 2012-3-31 17:12
预览 基于Verilog的接收程序,请各位帮忙看看 Newrenlan 2012-3-31 01331 Newrenlan 2012-3-31 14:43
预览 关于quartus的时序仿真问题! chinesebear 2012-3-8 72376 求知鸟 2012-3-31 10:24
预览 谁教教我怎么在quartus ii中把自己的设计包装成IP啊? 求知鸟 2012-3-31 01088 求知鸟 2012-3-31 09:44
预览 频率计的自校准功能是什么意思?是用一个精确的频率做基准吗? ccc 2012-3-31 01276 ccc 2012-3-31 08:27
预览 FPGA外接50M晶振,我用PLL把全局时钟4倍频,PLL的输出接到哪里啊? ccc 2012-3-31 01221 ccc 2012-3-31 08:17
预览 这几天在nios2移植uClinux系统的时候出现一些问题,求教 attach_img longjiezhong 2012-3-30 01023 longjiezhong 2012-3-30 16:14
预览 这几天在nios2移植uClinux系统的时候出现一些问题,求教各位 attach_img longjiezhong 2012-3-30 0977 longjiezhong 2012-3-30 16:09
预览 verilog浮点数减法运算怎么写啊 田欢 2012-3-28 11360 田欢 2012-3-30 16:05
预览 AD6644,求指教! 乐学 2012-3-30 01078 乐学 2012-3-30 14:14
预览 verilog通用型表决器设计 ANG 2010-6-26 76908 wangziyi269 2012-3-30 10:43
预览 AD转换相关程序 attachment  ...2 wangxia6112 2010-12-13 1710689 wangziyi269 2012-3-30 10:27
下一页 »

快速发帖

还可输入 80 个字符
您需要登录后才可以发帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-24 02:46 , Processed in 0.092486 second(s), 16 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

返回顶部 返回版块