集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
收藏本版 (8) |订阅

FPGA技术交流 今日: 2 |主题: 12936|排名: 8 

作者 回复/查看 最后发表
预览 verilog学习资料 梁志光 2011-11-24 0989 梁志光 2011-11-24 22:50
预览 FPGA直接数字频率合成器的设计 attach_img weibode01 2010-12-1 11418 yueyi0221 2011-11-24 20:10
预览 新手学习FPGA一个小问题 梁志光 2011-11-23 0874 梁志光 2011-11-23 15:25
预览 楼主好 梁志光 2011-11-23 01169 梁志光 2011-11-23 15:10
预览 求,FPGA实现HOUGH变换的代码,原理图,硬件模块描述,等等的全的资料~ 木子小兑 2011-11-23 0982 木子小兑 2011-11-23 14:56
预览 有人用过串口监控软件吗 vvt 2011-11-23 01222 vvt 2011-11-23 06:52
预览 请问哪位好心人能帮个忙给我提供一个脉压的IP软核 vvt 2011-11-23 01071 vvt 2011-11-23 06:51
预览 触发器和锁存器 风中的承诺 2011-11-21 21433 风中的承诺 2011-11-22 21:03
预览 为什么同一段代码用Quartus 和Synplify 综合后的结果不一样 vvt 2011-11-22 11934 vvt 2011-11-22 18:39
预览 大家好,谁用过“图形快输入”的方法编过verilog 的程序? ccs 2011-11-21 01164 ccs 2011-11-21 17:31
预览 EP1C6Q240C8做脉冲时的加减速处理方法 xuboequ 2011-11-21 01094 xuboequ 2011-11-21 15:36
预览 请问有没有用过EP3C5芯片的童鞋?一般内核电压在1.2V时电流最大多少? ccs 2011-11-21 01441 ccs 2011-11-21 12:18
预览 谁有Xilinx SP605的中文版使用手册 ccs 2011-11-21 01445 ccs 2011-11-21 12:18
预览 新手求助,在线等,谢谢 attach_img hjchen 2011-11-19 51625 zombes 2011-11-20 19:56
预览 学习fpga遇到瓶颈 希望夏老师给指导下 yuexinwei 2011-11-1 93221 共和国 2011-11-20 19:35
预览 问一个简单的VHDL问题,信号线上电赋初值 sioca 2011-11-20 01115 sioca 2011-11-20 15:50
预览 调用ise硬核乘法器,计算乘法所用的时间(延时),是固定的吗? ccs 2011-11-20 11344 ccs 2011-11-20 08:55
预览 请问modelsim SE6.5打开为什么这么慢 lanpad 2011-11-17 11307 shm123 2011-11-19 10:07
预览 有用lattice fpga的板子的吗 IPO 2011-11-15 21684 shm123 2011-11-19 10:07
预览 谁知道ISE12.3的license在开发套件的哪个目录下 attachment I2C 2011-3-22 43450 shm123 2011-11-19 10:05
预览 xilinx IDE 12.4 里面怎么找不到accelDSP了,谁知道的吗? ccs 2011-11-18 01049 ccs 2011-11-18 18:07
预览 有人用过xilinx XPE这个功能吗? ccs 2011-11-18 01214 ccs 2011-11-18 18:07
预览 FPGA实现生成误码附加到数据里再发出去,用DSP和FPGA实现,两个各自分工吗? ccs 2011-11-18 01013 ccs 2011-11-18 18:06
预览 有没有读写I2C ROM的VHDL例子? usd 2010-6-28 59033 a20061475 2011-11-18 09:52
预览 有没有cy7c68013A方案做usb blaster的? ccs 2011-11-18 01298 ccs 2011-11-18 04:35
预览 假如A是寄存器while(A&0x01)是死循环吗 ccs 2011-11-18 01065 ccs 2011-11-18 04:35
预览 谁有quartersII 10 64位破解器 attachment ccs 2011-10-23 21313 gefsmile 2011-11-17 21:47
预览 请教高手一个关于modelsim的问题,谢谢! zdhyy 2011-11-17 01278 zdhyy 2011-11-17 16:30
预览 是用quartus仿真的问题 ITlongjie 2011-11-17 21787 shm123 2011-11-17 09:57
预览 各位都用什么开发板? ITlongjie 2011-11-17 11104 fpgaw 2011-11-17 05:39
预览 ip核 lengbing 2011-11-16 01125 lengbing 2011-11-16 21:55
预览 跪求基于FPGA的函数信号发生器程序 mengxian8000 2011-11-16 0994 mengxian8000 2011-11-16 20:05
预览 CPLD开发板和FPGA开发板的区别 weibode01 2010-11-25 41509 lkpooo 2011-11-16 17:28
预览 双niosII核的程序下载到cfi flash 中出错,有解决方法吗 chaizhi113 2011-11-16 0966 chaizhi113 2011-11-16 16:27
预览 xilinx ML505板子,用ISE10.0.3的EDK编译程序,串口没有打印信息。用ISE12.0编译就有 IPO 2011-11-12 12063 nawei87 2011-11-16 15:05
预览 FPGA怎么输入数字信号 baggiolord 2011-11-10 21165 dangerous0729 2011-11-15 23:01
预览 怎么用FPGA控制DAC芯片产生三角波,正弦波和方波, guyibeijing 2011-11-15 01182 guyibeijing 2011-11-15 22:23
预览 高手进,关于FPGA和AD的 kingners 2011-6-21 72334 guyibeijing 2011-11-15 22:18
预览 怎么编写FPGA控制计数器8254的时序啊 guyibeijing 2011-11-15 01115 guyibeijing 2011-11-15 21:58
预览 fpga实验 求助 110lianli 2011-11-15 0927 110lianli 2011-11-15 18:25
下一页 »

快速发帖

还可输入 80 个字符
您需要登录后才可以发帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-4-29 12:32 , Processed in 0.063061 second(s), 16 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

返回顶部 返回版块