集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
收藏本版 (8) |订阅

FPGA技术交流 今日: 3 |主题: 12931|排名: 8 

作者 回复/查看 最后发表
预览 关于‘timescale aaa 2011-12-4 01262 aaa 2011-12-4 08:47
预览 timescale应该怎么设置 aaa 2011-12-4 21270 aaa 2011-12-4 08:43
预览 想做一个检测 115.3k速率的外部信号,怎么对准时钟? aaa 2011-12-4 01259 aaa 2011-12-4 08:41
预览 请问有人买过特权同学的开发板??有发现问题的吗? aaa 2011-12-4 11545 aaa 2011-12-4 08:40
预览 DE2-70上的语音编解码模块 st19890625 2011-12-3 21205 ddd 2011-12-4 06:35
预览 HOUGH变换的FPGA实现 nnn15nn 2011-12-3 0984 nnn15nn 2011-12-3 21:05
预览 哪位大侠用过XILINX EDK中的IFIP接口定制过IP核啊 ddr 2011-12-3 01927 ddr 2011-12-3 16:07
预览 哪位牛人帮我看看我的VHDL程序哪里有问题? attach_img 开心果 2011-11-30 1968 I2C 2011-12-3 03:52
预览 再来讨论一下FPGA上电初始态的问题 我爷爷是国军 2011-11-27 11594 I2C 2011-12-3 03:52
预览 求助,状态机工作不正常 tulipyyf 2011-11-26 11058 I2C 2011-12-3 03:52
预览 基于FPGA的语音编解码 st19890625 2011-12-1 1954 I2C 2011-12-3 03:51
预览 按键计数器求助?VHDL yhpp 2011-12-2 11012 I2C 2011-12-3 03:51
预览 请问平方加和除法运算如何用verilog实现? attach_img lanpad 2011-12-1 11286 I2C 2011-12-3 03:50
预览 不同位宽的变量赋值问题 wolfson 2011-12-1 11249 doublewangbo 2011-12-2 22:03
预览 新手求助 求知鸟 2011-11-24 21038 求知鸟 2011-12-2 16:23
预览 FPGA 设计技巧分享 20080067 2010-5-3 72668 fhelei 2011-12-2 15:38
预览 请问基于FPGA的本科毕业论文的选题? mazama 2011-11-19 21382 fhelei 2011-12-2 15:38
预览 求推荐一个电压在2.7-5.5V之间 输出电流在 8mA左右的电源芯片 IPO 2011-12-2 01281 IPO 2011-12-2 11:56
预览 有谁用电容三点式电路做100MHZ的振荡电路没? IPO 2011-12-2 01353 IPO 2011-12-2 11:54
预览 VHDL和Verilog哪个更好 sdyqf2011 2011-11-22 21300 peggyfen 2011-12-2 00:38
预览 哪位大神帮我看看我得程序哪里错了 kaixiinguo713 2011-11-22 31496 zhangyoumu 2011-12-1 15:42
预览 请教: IPO 2011-12-1 0934 IPO 2011-12-1 08:24
预览 Verilog中如何实现矩阵相乘? VVC 2010-6-26 84728 君临天下 2011-11-30 22:29
预览 如何将.ept文件烧入FT245RL的内部EEPROM??? zjh6607476 2011-11-25 31463 zjh6607476 2011-11-30 22:12
预览 关于always的综合 lansane 2011-11-28 21354 zombes 2011-11-30 21:31
预览 代做工程及毕设 nnn15nn 2011-11-30 01415 nnn15nn 2011-11-30 20:03
预览 CPLD初学者入门知识 碎碎念 2011-11-30 01082 碎碎念 2011-11-30 13:38
预览 飞思卡尔开发工具,嵌入式学习,实验 xsmc2009 2011-11-30 01048 xsmc2009 2011-11-30 10:18
预览 求助:怎样将一组数据通过串口传到PC amos0110 2011-11-29 0966 amos0110 2011-11-29 16:52
预览 有熟悉FFT的吗 fpgaw 2011-9-15 32538 fpgaw 2011-11-29 12:43
预览 ed2板子 fpga vga显示程序出问题,请高手指点呀,多谢了 qj16361 2011-11-28 01076 qj16361 2011-11-28 21:43
预览 NIOS 找不到库文件,谁知道怎么回事啊 vvt 2011-11-23 11422 I2C 2011-11-28 12:07
预览 问,,VHDL中有什么办法可以像C里面那样建立一个数组吗?? zjh6607476 2011-11-25 11114 I2C 2011-11-28 12:06
预览 异步fifo程序没看懂,求指导 lixuecheng 2011-11-28 01104 lixuecheng 2011-11-28 10:05
预览 哪位知道ISE中的memory editor在哪? kljun007 2011-11-23 11188 IPO 2011-11-25 11:48
预览 verilog学习资料 梁志光 2011-11-24 0989 梁志光 2011-11-24 22:50
预览 FPGA直接数字频率合成器的设计 attach_img weibode01 2010-12-1 11418 yueyi0221 2011-11-24 20:10
预览 新手学习FPGA一个小问题 梁志光 2011-11-23 0874 梁志光 2011-11-23 15:25
预览 楼主好 梁志光 2011-11-23 01169 梁志光 2011-11-23 15:10
预览 求,FPGA实现HOUGH变换的代码,原理图,硬件模块描述,等等的全的资料~ 木子小兑 2011-11-23 0981 木子小兑 2011-11-23 14:56
下一页 »

快速发帖

还可输入 80 个字符
您需要登录后才可以发帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-4-25 15:06 , Processed in 0.065834 second(s), 16 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

返回顶部 返回版块