集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
收藏本版 (8) |订阅

FPGA技术交流 今日: 1 |主题: 12951|排名: 8 

作者 回复/查看 最后发表
预览 什么叫随路时钟 cctv 2011-4-15 04017 cctv 2011-4-15 03:38
预览 QuartusII和NiosII,FPGA板,以及各个工具的具体功能和使用方法? TCL 2010-4-16 22086 abcdefgyxc 2011-4-14 21:00
预览 谁用过In-System Memory Content Editor CPLD 2011-4-14 11456 tonylzez 2011-4-14 16:19
预览 一个硬件工程师做完又一个FPGA项目后的感言  ...2 老怪甲 2010-7-5 189045 xubuwei 2011-4-14 12:38
预览 影响连接器选型的因素 crcorn 2011-4-14 01286 crcorn 2011-4-14 12:29
预览 求助 帧同步搜索电路 oprah 2011-4-14 01305 oprah 2011-4-14 12:10
预览 求ISE syetem generator,恳请给传上 shuling306 2011-4-13 11461 huiyichris 2011-4-13 22:38
预览 程序下载到fpga 时出现的错误 huangying 2011-4-13 01451 huangying 2011-4-13 21:13
预览 关于DSP Builde hng1123 2011-4-5 21139 hng1123 2011-4-13 20:53
预览 自定义组件,应用 hng1123 2011-4-13 01525 hng1123 2011-4-13 20:52
预览 从FPGA的GPIO口产生时钟问题 111lebron 2011-4-13 01450 111lebron 2011-4-13 20:38
预览 testbench不能运行 求高人指点下 IPO 2011-2-24 22423 shenconghui 2011-4-12 22:02
预览 FPGA实现高速传输 ziqiang10 2011-4-11 11534 chainjone 2011-4-12 21:40
预览 FPGA实现高速传输模块 ziqiang10 2011-4-11 11574 chainjone 2011-4-12 21:39
预览 Altera IP核 vvt 2010-6-23 21466 shenconghui 2011-4-12 21:35
预览 有人遇到过 Quartus 下的其中一个IP核不能用,其余正常使用的现象吗? vvt 2010-9-14 62924 shenconghui 2011-4-12 21:33
预览 求助:关于FFT IP核的输出结果 水是醒了的冰 2011-3-17 22489 shenconghui 2011-4-12 21:28
预览 cyclone 的锁相环管脚如何用来做普通IO使用? CPLD 2011-2-22 22362 impossible 2011-4-12 21:14
预览 求助:峰峰值为4V的正选波输入信号能否正常驱动FPGA干活? IPO 2011-4-11 11509 impossible 2011-4-12 21:10
预览 有人用ISE 10.1.3吗?我想使用fir compiler v4.0这个ip核,可是用不了,怎么办啊? vvt 2010-9-14 12308 cdutyyt520 2011-4-12 20:38
预览 7段译码器Verilog代码 老怪甲 2010-5-28 21673 sunmaster 2011-4-12 19:10
预览 新手求教verilog变量的定义类型问题 fly624 2010-11-4 52493 sunmaster 2011-4-12 18:57
预览 如何操作同一个组件里的多个寄存器 hng1123 2011-4-12 01409 hng1123 2011-4-12 16:23
预览 加法器程序中: vvt 2011-4-12 01290 vvt 2011-4-12 09:39
预览 问下如何用verilog求模取整数部分 vvt 2011-4-12 01905 vvt 2011-4-12 09:39
预览 verilog if语句使用问题 messy11 2011-4-11 01442 messy11 2011-4-11 19:49
预览 if语句使用的问题 messy11 2011-4-11 01395 messy11 2011-4-11 19:47
预览 有没有人调过DDR2? IPO 2011-4-11 01864 IPO 2011-4-11 18:04
预览 用一根交叉线把fpga和pc连接,应该怎么设置? IPO 2011-4-11 11387 IPO 2011-4-11 18:03
预览 用xilinx fpga 与spi flash (m25p40)通信读不出数据是为什么 yueye66jingling 2011-4-11 02429 yueye66jingling 2011-4-11 16:41
预览 找项目合作 hdy2003 2011-4-11 11544 hdy2003 2011-4-11 12:44
预览 儿童走失提醒器 attach_img andersonli 2011-2-28 11251 allenshow2011 2011-4-11 11:05
预览 小弟有关于Xilinx开发板选择问题请教各位大虾。 limike1987 2011-4-11 01640 limike1987 2011-4-11 11:00
预览 quartus 9.0 attach_img zzzdaizi 2010-12-8 31444 njithjw 2011-4-10 21:18
预览 为什么子模块LUT资源减少了2000,而顶层模块LUT资源只减少了4000? dickren123 2011-4-10 11262 dickren123 2011-4-10 21:07
预览 我把pcore添加到EDK工程里,使用Configure Co-processor tool IPO 2011-4-10 01907 IPO 2011-4-10 20:22
预览 组件开发流程 hng1123 2011-4-10 11439 hng1123 2011-4-10 20:03
预览 modelsim仿真有时候出来的结果一直是红线呢 IPO 2011-2-28 74996 lieutenant25 2011-4-10 19:48
预览 问题 hng1123 2011-4-9 11442 hng1123 2011-4-10 15:30
预览 SOPC_CPU_time_limited.sof hng1123 2011-4-9 11286 hng1123 2011-4-10 15:29
下一页 »

快速发帖

还可输入 80 个字符
您需要登录后才可以发帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-17 20:17 , Processed in 0.080436 second(s), 16 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

返回顶部 返回版块