集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
收藏本版 (6) |订阅

FPGA学习步骤 今日: 0|主题: 1349|排名: 2 

作者 回复/查看 最后发表
预览 CPLD/FPGA技术的现状及发展前景 fpga_feixiang 2017-9-14 42684 辽工大小白 2017-12-24 22:09
预览 几个小问题 奋斗的小孩 2017-10-22 22004 fpga_feixiang 2017-10-27 14:20
预览 华为同步电路规范 attachment zxopenlz 2017-10-24 32244 fpga_feixiang 2017-10-27 14:16
预览 求大神给予帮助,解决; zhiweiqiang33 2017-10-11 32105 fpga_feixiang 2017-10-17 13:38
预览 数字系统设计实验指导 attachment  ...2 4798345 2017-7-2 105765 fpga_feixiang 2017-10-16 11:28
预览 FPGA技术的优劣势分析 fpga_feixiang 2017-9-29 02302 fpga_feixiang 2017-9-29 17:40
预览 FPGA设计的需求分析 zhiweiqiang33 2016-12-6 94592 fpga_feixiang 2017-9-27 16:25
预览 FPGA初级课程第十八讲 FIFO attach_img  ...234 lcytms 2016-11-22 3516260 fpga_feixiang 2017-9-20 17:02
预览 工控技术中FPGA的应用将会越来越重要 fpga_feixiang 2017-9-20 02045 fpga_feixiang 2017-9-20 17:00
预览 基于FPGA的时钟边缘检测 新人帖 attachment  ...2 zxczyx 2017-3-31 117102 fpga_feixiang 2017-9-19 09:23
预览 如何学习FPGA? fpga_feixiang 2017-9-7 12222 4798345 2017-9-18 11:13
预览 进位加法器代码 fpga_feixiang 2017-9-15 12027 4798345 2017-9-18 11:13
预览 二路进位加法器代码 fpga_feixiang 2017-9-15 32028 4798345 2017-9-18 11:12
预览 变频器过电压的原因及其解决方法 新人帖 xdxkj 2016-8-31 42632 fpga_feixiang 2017-9-15 14:55
预览 FPGA_100天之旅_实时时钟设计 attachment  ...2 FPGA_one 2017-6-21 105703 fpga_feixiang 2017-9-14 15:10
预览 高难度的学习才刚刚开始 attachment molin 2017-8-28 11885 小舍YZ 2017-9-12 16:13
预览 奋斗的小孩之altera 系列 第二篇 软件的安装 attachment  ...2 奋斗的小孩 2016-7-19 106052 小舍YZ 2017-9-12 16:12
预览 新手学FPGA 4798345 2017-3-8 53931 4798345 2017-9-7 15:25
预览 FPGA基本知识概念分享之四 4798345 2017-3-17 42439 4798345 2017-9-7 15:25
预览 【转】 FPGA设计的四种常用思想与技巧 fpga_feixiang 2017-9-4 12253 4798345 2017-9-6 10:49
预览 键盘扫描代码  ...2 奋斗的小孩 2016-7-13 158178 芙蓉王 2017-9-6 09:29
预览 fpga方案是什么意思,fpga是什么意思 通俗点回答? fpga_feixiang 2017-8-30 11809 admin 2017-9-3 23:49
预览 JTAG接口解读 4798345 2017-8-31 11865 zzzxxx 2017-9-3 05:24
预览 FPGA使用技巧 fpga_feixiang 2017-9-1 02238 fpga_feixiang 2017-9-1 16:41
预览 基于FPGA的UART通信接口设计与实现 attachment 4798345 2017-8-1 63265 4798345 2017-8-31 09:09
预览 用于数据分析的英特尔®FPGA 4798345 2017-8-31 01852 4798345 2017-8-31 09:08
预览 新手学FPGA之寄存器 4798345 2017-3-10 32267 4798345 2017-8-28 17:49
预览 请问大家画板子都用ad还是cadence zzzxxx 2017-8-26 11751 4798345 2017-8-27 16:33
预览 勇敢的芯:伴你玩转NIOS+II 4798345 2017-8-19 42671 4798345 2017-8-26 14:28
预览 雾盈FPGA笔记之(四):基于FPGA实现频率相位可调的DDS波形发生器(Verilog) attach_img  ...2 雾盈 2016-8-2 1611671 fpga_feixiang 2017-8-25 17:23
预览 勇敢的芯:伴你玩转NIOS+II_第十一章 attachment 4798345 2017-8-19 42026 4798345 2017-8-23 16:12
预览 利用FPGA 实现的数据通讯信号源 attachment 4798345 2017-8-1 73126 4798345 2017-8-23 16:11
预览 串并转换 4798345 2017-6-22 53003 4798345 2017-8-23 16:09
预览 【PLC项目源代码分享①】3种情况下的PLC通讯实例 attachment hilucky2016 2016-7-14 12229 saddyxia 2017-8-21 11:17
预览 用矩阵键盘在数码管上输出十六进制和十进制数 打铁小肥罗 2016-8-23 53203 saddyxia 2017-8-21 11:16
预览 FPGA与DSP之功能角度 4798345 2017-3-31 12040 saddyxia 2017-8-21 11:11
预览 锁相环中的DLL和PLL的区别之三 4798345 2017-4-22 22001 saddyxia 2017-8-21 11:10
预览 FPGA都可以做什么用途? 4798345 2017-7-9 72861 羽世 2017-8-19 16:13
预览 勇敢的芯:伴你玩转NIOS+II_第九章 attachment 4798345 2017-8-18 21932 4798345 2017-8-19 14:44
预览 勇敢的芯:伴你玩转NIOS+II_第十章 4798345 2017-8-18 32268 4798345 2017-8-19 14:44
下一页 »

快速发帖

还可输入 80 个字符
您需要登录后才可以发帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-19 09:45 , Processed in 0.060949 second(s), 17 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

返回顶部 返回版块