集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
楼主: Python0291

项目三:基于FPGA的串口通信设计

[复制链接]
 楼主| Python0291 发表于 2022-5-7 14:26:57 | 显示全部楼层
16位乘法器/Verilog代码设计实现
http://www.fpgaw.com/thread-129295-1-1.html

梁祝乐曲-Verilog代码设计实现
http://www.fpgaw.com/thread-129296-1-1.html

项目一:基于FPGA设计实现的十路逻辑分析仪
http://www.fpgaw.com/thread-129530-1-1.html
 楼主| Python0291 发表于 2022-5-9 12:16:10 | 显示全部楼层
FPGA系统性基础资料入门教程
 楼主| Python0291 发表于 2022-5-11 10:51:30 | 显示全部楼层
基于FPGA的数据采集卡设计
 楼主| Python0291 发表于 2022-5-12 10:11:55 | 显示全部楼层
可以直接借助《Verilog 数字系统设计教程(第二版)》和本书即能全面掌握Verilog 的语法,这是学习FPGA 的第一步,也是必不可少的一步。
 楼主| Python0291 发表于 2022-5-13 09:58:16 | 显示全部楼层
16位乘法器/Verilog代码设计实现
http://www.fpgaw.com/thread-129295-1-1.html

梁祝乐曲-Verilog代码设计实现
http://www.fpgaw.com/thread-129296-1-1.html
LYF 发表于 2022-5-13 18:22:11 | 显示全部楼层
项目三:基于FPGA的串口通信设计
http://www.fpgaw.com/forum.php?m ... 2&fromuid=59610
(出处: fpga论坛|fpga设计论坛)
 楼主| Python0291 发表于 2022-5-16 09:36:05 | 显示全部楼层
基于FPGA设计实现的十路逻辑分析仪
 楼主| Python0291 发表于 2022-5-16 15:19:13 | 显示全部楼层
项目一:基于FPGA设计实现的十路逻辑分析仪
http://www.fpgaw.com/forum.php?m ... 0&fromuid=55751
(出处: fpga论坛|fpga设计论坛)
 楼主| Python0291 发表于 2022-5-17 09:55:12 | 显示全部楼层
基于FPGA设计实现的十路逻辑分析仪
 楼主| Python0291 发表于 2022-5-18 15:00:34 | 显示全部楼层
FPGA学习资料汇总,涵盖案例、代码
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-4-24 05:31 , Processed in 0.094164 second(s), 17 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表