library IEEE;<br>
use IEEE.std_logic_1164.all;<br>
use IEEE.std_logic_unsigned.all;<br>
entity 3t 8 is<br>
port(<br>
input : in std_logic_vector(2 downt 0);<br>
output : out std_logic_vector(7 downto 0)<br>
end entity 3to8;<br>
<br>
<br>
architecture behav of 3to8 is<br>
begin<br>
process(input)<br>
begin<br>
output<=(others=>0);<br>
output<=(conv_integer(input))<='1';<br>
end process;<br>
end