集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 7485|回复: 16

fpga新人求计数器的Verilog程序

[复制链接]
ICE 发表于 2010-6-27 23:32:17 | 显示全部楼层 |阅读模式
本帖最后由 fpgaw 于 2010-6-29 05:04 编辑

fpga新人求计数器的Verilog程序
有关于计数器设计的资料或是源代码,要可以综合,仿真的,谢谢
CCIE 发表于 2010-6-28 00:16:39 | 显示全部楼层
不是吧?<br>
刚学啊?<br>
不难啊
VVIC 发表于 2010-6-28 01:26:03 | 显示全部楼层
刚开始学习<br>
主要是看一下标准的规范,以及要注意的事情
inter 发表于 2010-6-28 02:19:18 | 显示全部楼层
自己搜索一下
VVIC 发表于 2010-6-28 02:50:30 | 显示全部楼层
网上很多代码的
VVIC 发表于 2010-6-28 03:30:56 | 显示全部楼层
哦<br>
谢啦
CHAN 发表于 2010-6-28 03:35:37 | 显示全部楼层
很多书里面都有,不难吧!
AAT 发表于 2010-6-28 05:21:36 | 显示全部楼层
module count6(clk,reset,ena,out,cout);<br>
&nbsp;&nbsp;input&nbsp; &nbsp;&nbsp; &nbsp;&nbsp;&nbsp;clk,reset,ena;<br>
&nbsp;&nbsp;output[3:0]&nbsp; &nbsp;out; <br>
&nbsp;&nbsp;output&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp;cout;<br>
&nbsp;&nbsp;reg[3:0]&nbsp; &nbsp;&nbsp; &nbsp;&nbsp;&nbsp;out;<br>
&nbsp;&nbsp;reg&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp;cout;<br>
<br>
always @(posedge clk or posedge reset)<br>
&nbsp; &nbsp; begin<br>
&nbsp; &nbsp;&nbsp; &nbsp; if(reset)&nbsp; &nbsp;out='b0000;<br>
&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp;else if(ena)<br>
&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp; begin<br>
&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp; if(out&lt;'b0101)&nbsp;&nbsp;out=out+1;<br>
&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp; else&nbsp;&nbsp;out='b0000;<br>
&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp; end<br>
&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp; if(out==5)out='b1;<br>
&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp;else&nbsp;&nbsp;cout='b0;<br>
&nbsp; &nbsp; end<br>
endmodule
CHAN 发表于 2010-6-28 06:05:05 | 显示全部楼层
module count6(clk,reset,ena,out,cout);<br>
&nbsp;&nbsp;input&nbsp; &nbsp;&nbsp; &nbsp;&nbsp;&nbsp;clk,reset,ena;<br>
&nbsp;&nbsp;output[3:0]&nbsp; &nbsp;out; <br>
&nbsp;&nbsp;output&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp;cout;<br>
&nbsp;&nbsp;reg[3:0]&nbsp; &nbsp;&nbsp; &nbsp;&nbsp;&nbsp;out;<br>
&nbsp;&nbsp;reg&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp;cout;<br>
<br>
always @(posedge clk or posedge reset)<br>
&nbsp; &nbsp; begin<br>
&nbsp; &nbsp;&nbsp; &nbsp; if(reset)&nbsp; &nbsp;out='b0000;<br>
&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp;else if(ena)<br>
&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp; begin<br>
&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp; if(out&lt;'b0101)&nbsp;&nbsp;out=out+1;<br>
&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp; else&nbsp;&nbsp;out='b0000;<br>
&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp; end<br>
&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp; if(out==5)out='b1;<br>
&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp;else&nbsp;&nbsp;cout='b0;<br>
&nbsp; &nbsp; end<br>
endmodule<br>
这个程序好象有问题 可我找不到问题在哪 反正就是不能编译高手 指点指点啊
HANG 发表于 2010-6-28 07:38:21 | 显示全部楼层
我修改了一下:<br>
module count6(clk,reset,ena,out,cout);<br>
&nbsp;&nbsp;input&nbsp; &nbsp;&nbsp; &nbsp;&nbsp;&nbsp;clk,reset,ena;<br>
&nbsp;&nbsp;output[2:0]&nbsp; &nbsp;out; <br>
&nbsp;&nbsp;output&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp;cout;<br>
&nbsp;&nbsp;reg[2:0]&nbsp; &nbsp;&nbsp; &nbsp;&nbsp;&nbsp;out;<br>
&nbsp;&nbsp;reg&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp;cout;<br>
<br>
always @(posedge clk or posedge reset)<br>
&nbsp; &nbsp; begin<br>
&nbsp; &nbsp;&nbsp; &nbsp; if(reset)&nbsp; &nbsp;out='b000;<br>
&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp;else if(ena)<br>
&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp;begin<br>
&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp; if(out&lt;'b101)&nbsp;&nbsp;out=out+1;<br>
&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp; else&nbsp;&nbsp;out='b000;<br>
&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp; <br>
&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp; if(out==5) cout='b1;//您的out=1无意义<br>
&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp;else&nbsp;&nbsp;cout='b0;<br>
&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp; end<br>
&nbsp; &nbsp; end<br>
endmodule
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-19 09:32 , Processed in 0.082383 second(s), 19 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表