集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
12
返回列表 发新帖
楼主: ICE

fpga新人求计数器的Verilog程序

[复制链接]
FFT 发表于 2010-6-28 09:36:46 | 显示全部楼层
关键看你想做什么了。<br>
同步和异步的差不少。<br>
建议先去查一下MSI中计数器芯片的规格(Datasheet ),在Verilog中实现一下。
usd 发表于 2010-6-28 10:04:02 | 显示全部楼层
那个是个笔误,问题应该不在这吧 
CHANG 发表于 2010-6-28 11:58:30 | 显示全部楼层
同是初学 
weibode01 发表于 2010-11-5 14:17:24 | 显示全部楼层
简单的程序还是自己学着找错误。。。
gzcjh230 发表于 2011-6-13 17:34:18 | 显示全部楼层
今天路过看到这个程序,觉得有好多错,随手改了改,供大家交流吧
module count6(clk,reset,ena,out,cout);
  input clk,reset,ena;
  output[3:0]out;
  output cout;
  reg[3:0]out;
  reg cout;

always @(posedge clk or posedge reset)
    begin
       if(reset)   
       out='b0000;
         else if(ena)
                begin
                   if(out<3'b0101)  
                   out=out+1;
                   else  
                   out=3'b0000;
                end
    end
endmodule

仿真通过,复制过去就可以用!!!!
my2817 发表于 2011-6-14 12:13:55 | 显示全部楼层
能不能用Parameters设计一个更加方便通用的呢
wangxia6112 发表于 2011-6-22 17:10:15 | 显示全部楼层
回复 15# gzcjh230


    这个程序中的cout没有进行任何赋值,没什么作用,完全可以不用加进去。
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-19 09:43 , Processed in 0.092132 second(s), 16 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表