集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 1940|回复: 1

如何用Modelsim编译xilinx库

[复制链接]
老怪甲 该用户已被删除
老怪甲 发表于 2010-8-10 10:27:24 | 显示全部楼层 |阅读模式
本帖最后由 fpgaw 于 2010-11-25 14:11 编辑

如何用Modelsim编译xilinx库

建立ModelSim SE 的Xilinx仿真库方法,从网上搜到的有很多,实践过其中几种,其中一种较简单的方法如下:
1)当然是要安装ModelSim 和ISE 。

2)将ModelSim根目录下的modelsim.ini文件的只读属性去掉。
3)在ModelSim命令窗口输入:compxlib -s mti_se -arch all -l vhdl -w -lib all
按回车键即可。
说明:上述命令行中的vhdl 表示vhdl语言,如将此处改为verilog,则生成verilog的库。

4)将ModelSim根目录下的modelsim.ini文件设为只读。

完成上述步骤,重新启动modelsim,即可在libarary栏中看到已生成的Xilinx库
leehowal 发表于 2010-8-19 21:13:00 | 显示全部楼层
感觉用ise自带的工具compxlibgui.exe直接生成更方便一些呢。
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-18 16:44 , Processed in 0.069397 second(s), 24 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表