集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 2537|回复: 1

VHDL语言如何实现数据选择器?

[复制链接]
IPO 发表于 2010-8-11 14:45:08 | 显示全部楼层 |阅读模式
VHDL语言如何实现数据选择器?
 楼主| IPO 发表于 2010-8-11 14:45:20 | 显示全部楼层
SIG_DOUT <= SIG_DINS(SIG_IDX);                --多路输入,单路输出
     SIG_DOUTS(SIG_IDX) <= SIG_DIN;                --单路输入,多路输出
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-15 17:22 , Processed in 0.069231 second(s), 23 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表