集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 5041|回复: 3

零基础学FPGA(七)今天讲习题

[复制链接]
小墨同学 该用户已被删除
小墨同学 发表于 2014-11-20 13:32:00 | 显示全部楼层 |阅读模式
本帖最后由 小墨同学 于 2014-11-20 16:59 编辑

五一假期刚过,大家玩的还开心么~加上周六周末,我可是一连放了5天假啊!So~就来了一次说走就走的旅行,提前一天规划好路线,宾馆都没订,背上两件衣服就和几个朋友踏上火车,去了一趟杭州,上海。话说加上这次去的西湖,人民币后面那186块钱的风景已经走得快差不多了,还差个三峡和布达拉宫就全部走完了~不过五一假期人还是多的要命哈,第一天西湖就上了新闻头条,说西湖只见人头不见断桥...整整玩了5天,一路上查地图,订宾馆,找小吃,逛大学,拍照,熬夜聊天,走不完的路,看不完的景,5天玩的很累,钱也花的不少,给人的一大收获就是,以后还是多存点钱出去走走哈~外面的世界很精彩!下一站,计划香格里拉了~玩也玩累了,还是得学习哈,今天我们来讲习题。




习题呢,来自夏雨闻老师的那本教材,就挑几个感觉自己做着有点难度的写写吧



这个题呢刚开始我是没看明白,记得书上只讲了我们习惯上的用法,这种用法我是没见过,问了下别人才知道,Verilog中一般是左高右低。第一个没问题,第二个,input [0:2] IP,习惯上我们这样写 input [2:0] IP,这里两个是等价的,即表示第0 .1 .2 三位。第三个,wire [16:23] A,也是,左高右低,表示第16.17.....22. 23位,左高右低就这样记就好了。


首先FF呢用二进制表示就是1111_1111,因为是2‘hFF,即取前两位,即0000_0011,因此,答案1,2正确。




这道题值得注意的是always的这种结构,可以有两个@语句,这道题本身不难,看下答案,知道有这么一回事就好。

这道题比较有意思,这里I和J是整型,输出I=-1没问题。-1也是整数,输出J=0也没问题
这里A是3位寄存器变量,值为000,与-1相减,-1的补码为1111,所以A-1=000+1111,即1111,但这里A是3位的,所以取后三位,所以呢,A就为111即7.同理输出B为8.




这个题要注意位运算符和逻辑运算符的区别,像&是位运算符,&&是逻辑运算符。所以第一题是按位与,答案应该是4’b1000,第二题是按位取反,答案是4‘b0011,第三题是逻辑运算,4'b1011是逻辑1,前面一个非号,即左边是逻辑0,同理,右边是逻辑1,所以答案应该是1'b1,下面的题也都类似,这里就先不说了。

这个题与上面提到过的那个题,类似,主要是注意寄存器变量的位数就好了,从低位取起,再按照输出类型确定输出。



这个题倒不难,但是很容易犯错,注意默认位数为32位就好了

题目不多,尽量把每个题目做精才是王道!那就先写到这里吧,要去吃饭啦~

祝各位学习进步,工作顺利~




此外,以后小墨同学的文章将在EDNchina和北京至芯科技官方技术论坛同时更新,至芯科技的官方技术论坛也有很多的资料供大家下载,里面也有小墨的专题板块,希望广大网友和爱好者的大力支持~
http://www.fpgaw.com/
小墨同学专版网址
http://www.fpgaw.com/thread-78527-1-1.html
下面是至芯科技官方网站,夏宇闻教授和那里的老师都超好的~有意愿接受FPGA培训的可以找工作人员咨询
http://www.zxopen.com/
       以后小墨同学的教程会跟这款开发板配套,想跟小墨同学一起学习,一起进步的的可以考虑一下购买一块开发板,毕竟学习要舍得投资嘛,下面是开发板淘宝链接
http://item.taobao.com/item.htm? ... ;abbucket=13#detail

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
zhiweiqiang33 发表于 2014-11-20 13:56:16 | 显示全部楼层
下载 学习 技术文章 感谢
累123 发表于 2015-5-5 16:12:37 | 显示全部楼层
下载 学习 技术文章 感谢
linuvzg 发表于 2022-5-1 14:31:23 | 显示全部楼层
本帖最后由 linuvzg 于 2022-5-27 12:51 编辑

路过我是来打酱油的,给力一下!














迷你 分體式冷氣機安裝會比較複雜,對於一般用戶而言,自行安裝會比較困難,因此需要專業師傅上門協助安裝冷氣機。「冷氣通」師傅可提供定期上門的檢查服務,偵測任何漏水丶線路或噪音的問題。只要及時作出補救,便能保養風喉式冷氣機




您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-8 08:03 , Processed in 0.069768 second(s), 21 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表