集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 80025|回复: 58

小墨同学专版《零基础学FPGA系列博文》一个大三学生学习之路的真实记录 更新中..

[复制链接]
小墨同学 该用户已被删除
小墨同学 发表于 2014-11-18 20:00:54 | 显示全部楼层 |阅读模式
本帖最后由 小墨同学 于 2015-8-29 16:01 编辑

         各位至芯科技的老师,学员们,大家好!
         很荣幸加盟至芯科技与大家分享我的FPGA学习生活!首先感谢至芯科技的雷总,给小墨同学这样一个平台,可以和更多优秀的人一起交流。之前小墨同学是一直在EDNchina的论坛里发表自己的学习过程,受到很多网友的支持,是你们给我的鼓励,才让我能够一直坚持下去。FPGA的学习之路是很艰难却又很有趣的,不得不说,在我们学校搞这个的人真是少之又少,所以这条路上我算是孤独的,幸好有广大网友的支持,来和我实现一个共同的梦想。
         从今天开始,小墨同学将和大家一同学习FPGA,由于我才刚上大三,可能和大神们比起来我不算什么,我写这些文章的目的就是想和大家分享我的学习过程,包括遇到问题怎么解决,学习笔记,学习感想之类的,让那些刚入门FPGA不久,设计没有头绪的人能够在我的文章里找到一些灵感,我就很高兴了。
         前15篇文章是之前在EDNchina里发表过的,写的很随意,目的就是想让大家能够像平常说话交流一样来了解新的知识,有的文章下附有我写的代码。当然有些代码不是我自己原创,但是都是经过我仔细研究,并自己亲自敲上一遍,修改一些不合适的地方,再加上自己的注释,仿真成功后才传上来的,也希望广大爱好者能够自己亲自敲上一遍,对新手来说真的提高很多。


         以后的文章会在我们至芯科技的论坛和EDNchina同时更新,希望大家共同进步~



        零基础学FPGA  (一) 关于我和FPGA                                                                               零基础学FPGA(二)关于触发器
        http://www.fpgaw.com/thread-78569-1-1.html                                              http://www.fpgaw.com/thread-78570-1-1.html

        零基础学FPGA(三)Verilog语法基基础基础(上)                                                      零基础学FPGA(四)Verilog语法基基础基础(中)
        http://www.fpgaw.com/thread-78571-1-1.html                                              http://www.fpgaw.com/thread-78572-1-1.html
        
        零基础学FPGA(五)Verilog语法基基础基础(下)                                                           零基础学FPGA(六)关于我最近的情况
        http://www.fpgaw.com/thread-78573-1-1.html                                              http://www.fpgaw.com/thread-78574-1-1.html

        零基础学FPGA(七)今天讲习题                                                                                  零基础学FPGA(八)浅谈状态机
        http://www.fpgaw.com/thread-78575-1-1.html                                              http://www.fpgaw.com/thread-78576-1-1.html

        零基础学FPGA(九)手把手解析时序逻辑乘法器代码                                                   零基础学FPGA(十)牛刀小试——串行口通信电路设计
        http://www.fpgaw.com/thread-78577-1-1.html                                              http://www.fpgaw.com/thread-78578-1-1.html

       零基础学FPGA(十一)初入江湖之i2c通信                                                                     零基础学FPGA(十二)一步一脚印之基于FIFO的串口发送机设计及常见错误详解
        http://www.fpgaw.com/thread-78579-1-1.html                                              http://www.fpgaw.com/thread-78580-1-1.html

       零基础学FPGA(十三)对于初学者一篇很不错的文章                                                    零基础学FPGA(十四)新的开始
        http://www.fpgaw.com/thread-78581-1-1.html                                              http://www.fpgaw.com/thread-78582-1-1.html

       零基础学FPGA(十五)先来说说VGA                                                                             
        http://www.fpgaw.com/thread-78583-1-1.html                                             

       ========================================================================================
                                                                                                一切从至芯开始
       ========================================================================================
  
       零基础学FPGA(十六)第一片IC——精简指令集RISC_CPU设计精讲                             零基础学FPGA(十七)Testbenth 很重要,前仿真全过程笔记(上篇)
       http://www.fpgaw.com/thread-78568-1-1.html                                               http://www.fpgaw.com/thread-78677-1-1.html

      零基础学FPGA(十八)testbench很重要,前仿真全过程笔记(下篇)                           零基础学FPGA(十九)新人必进,1602动态电子可调时钟设计,练一下代码风格吧
      http://www.fpgaw.com/thread-78678-1-1.html                                                http://www.fpgaw.com/forum.php?m ... mp;extra=#pid140758
   
      零基础学FPGA (二十)你好2015,小墨谈可编程逻辑设计思想与技巧!对您肯定有用!
      http://www.fpgaw.com/forum.php?m ... mp;extra=#pid141152

      零基础学FPGA (二十一) 探秘SOPC                                                                            零基础学FPGA (二十二) 举一反三,基于SOPC的定时器中断与串口数据收发
      http://www.fpgaw.com/thread-78857-1-1.html                                               http://www.fpgaw.com/thread-78876-1-1.html

      零基础学FPGA (二十三)SOPC进阶,自定义AD转换IP核设计全流程                           零基础学FPGA (二十四) 一路走来 :SDR SDRAM(理论篇)
      http://www.fpgaw.com/thread-78884-1-1.html                                               http://www.fpgaw.com/thread-79199-1-1.html

      零基础学FPGA (二十五) 一路走来 :SDR SDRAM(架构篇)                                    零基础学FPGA (二十六)必会! 从静态时序分析到SDRAM时序收敛(上篇)
      http://www.fpgaw.com/thread-79410-1-1.html                                              http://www.fpgaw.com/thread-79541-1-1.html

      零基础学FPGA (二十七)必会! 从静态时序分析到SDRAM时序收敛(下篇)             零基础学FPGA (二十八)频、相可调,任意波形信号发生器系统设计
      http://www.fpgaw.com/thread-79546-1-1.html                                              http://www.fpgaw.com/thread-79753-1-1.html

      零基础学FPGA (二十九)滤波器开篇,线性相位FIR滤波器的FPGA实现                       零基础学FPGA(三十) IIR数字滤波器的FPGA实现笔记
      http://www.fpgaw.com/thread-79905-1-1.html                                              http://www.fpgaw.com/thread-80409-1-1.html

      零基础学FPGA(三十一)写在京城,Hogenauer CIC抽取滤波器的FPGA实现笔记         零基础学FPGA( 三十二) 写在京城,多级FIR半带滤波器的FPGA实现
      http://www.fpgaw.com/thread-81758-1-1.html                                                            http://www.fpgaw.com/thread-81759-1-1.html

      零基础学FPGA(三十三)多相结构抽取滤波器笔记
      http://www.fpgaw.com/thread-81760-1-1.html
小梅哥 发表于 2014-11-19 13:03:13 | 显示全部楼层
好,共同努力,为更多的人能够更快的学习FPGA,我们一直在努力
fpgaw 发表于 2014-11-20 14:26:10 | 显示全部楼层
共同努力,为更多的人能够更快的学习FPGA,我们一直在努力
小梅哥 发表于 2014-11-24 15:05:03 | 显示全部楼层
小墨童鞋,虽未谋面,但也感觉到你是一个非常有活力,而且很严禁的人。好好努力,一起为至芯美好的明天奋斗
小墨同学 该用户已被删除
 楼主| 小墨同学 发表于 2014-11-24 19:58:21 | 显示全部楼层
小梅哥 发表于 2014-11-24 15:05
小墨童鞋,虽未谋面,但也感觉到你是一个非常有活力,而且很严禁的人。好好努力,一起为至芯美好的明天奋斗

谢谢!我看过小梅哥的文章,写的很精彩,望以后多多指教
小墨同学 该用户已被删除
 楼主| 小墨同学 发表于 2014-11-24 19:59:03 | 显示全部楼层
fpgaw 发表于 2014-11-20 14:26
共同努力,为更多的人能够更快的学习FPGA,我们一直在努力

好的!大家都要好好加油!
Chrispan110 发表于 2014-12-3 19:25:16 | 显示全部楼层
菜鸟来看一下
小墨同学 该用户已被删除
 楼主| 小墨同学 发表于 2014-12-3 22:28:43 | 显示全部楼层

欢迎
zhiweiqiang33 发表于 2015-1-20 18:08:48 | 显示全部楼层
技术大讲堂 梅工
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-4-26 23:59 , Processed in 0.071850 second(s), 21 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表