集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
楼主: lcytms

跟李凡老师学FPGA图像加速器之D01:图像处理基础(20170425课堂笔记)

[复制链接]
 楼主| lcytms 发表于 2017-5-2 16:41:18 | 显示全部楼层
1202
        这边输出的是像素值,像素值我们可以按无符号来处理,全0,8位的,8个0就是黑的。
        8个1是255,全亮。
        然后呢,用它来计算。
        得到了用偏导数计算的公式。
        它就会生成一个有符号数。
        因为它有负数嘛。
        所以说,这个输出,Dx、Dy必须是一个有符号数,其它的未作注解就是无符号数。
        这是有符号数。
   

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| lcytms 发表于 2017-5-2 16:43:02 | 显示全部楼层
1203
        它的宽度,做11位宽度。
        为什么做11位?
        我等会来解释。
        精度不浪费,多一位会浪费,少一位会丢精度。
        偏导数再往后推,求导数的绝对值。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| lcytms 发表于 2017-5-2 16:44:46 | 显示全部楼层
1204
        绝对值的输出,一个像素的,一个字节。
        Absd,abs是绝对值,d是导数。做成8位的,做成无符号数。
        这边要把11位的有符号数要抛掉。
        抛掉3位,准备一个8位的。
        抛掉3位低精度的,取它高精度的8位。
        回到7:0。
        为什么要回到8位?
        因为它准备写到存储器里面去,存储器的单元是8位的。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| lcytms 发表于 2017-5-2 16:46:50 | 显示全部楼层
1205
        中间这个过程不可避免地出现11位的。
        写回去的时候,我们要把高精度的7:0写回存储器。
        然后再输出结果寄存器。
        是把字节组装成字,组装成一个导数字。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| lcytms 发表于 2017-5-2 16:48:49 | 显示全部楼层
1206
        DES,字节的订化器。
        这个输出接mem_data。31:0。
        这也要有移位信号。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| lcytms 发表于 2017-5-2 16:49:40 | 显示全部楼层
1207
        在移位信号的控制下,整个流水线的作业,从3*3的阵列、偏导、绝对值,绝对值得到的是字节,字节的订化器。
        然后组装成一个字。
        四个字节组装成一个字。
        出现在data端。
        然后呢,我们下面来设计精确的状态机的任务。
        精确地设计到,当这个字刚好生成的时候,我们会发出memory的write。
        下午接着做。
(休息)
 楼主| lcytms 发表于 2017-11-5 09:21:50 | 显示全部楼层
1330
        指导设计。
        1.4 算法架构(computer)。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| lcytms 发表于 2017-11-5 09:22:47 | 显示全部楼层
1331
        指导设计。
        1.4 算法架构(computer)。
        修改row_reg。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| lcytms 发表于 2017-11-5 09:23:33 | 显示全部楼层
1332
        指导设计。
        你的任务完成了,交给下一位。
 楼主| lcytms 发表于 2017-11-5 09:24:18 | 显示全部楼层
1333
        指导设计。
        复制文件夹sobelv13,名字改成sobelv14。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-5 08:40 , Processed in 0.134401 second(s), 17 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表