集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
收藏本版 (8) |订阅

FPGA技术交流 今日: 0|主题: 12935|排名: 4 

作者 回复/查看 最后发表
预览 quartusII8.0全编译后出现unknown pin fangshouyibo 2011-10-18 01103 fangshouyibo 2011-10-18 14:18
预览 求教,dds生成的信号过dac的问题。 wfl_1988 2011-10-17 01061 wfl_1988 2011-10-17 11:49
预览 verilog数字秒表 attachment twftwf 2011-10-17 01118 twftwf 2011-10-17 07:42
预览 【转】FPGA的“可编程”使你迷惑吗? 老怪甲 2010-4-16 31822 liuxiang900816 2011-10-16 21:48
预览 含泪出售FPGA开发板(红色飓风RC2-3s400) nila 2011-10-15 01222 nila 2011-10-15 14:21
预览 怎么用VHDL语言实现2进制转换成16进制? wodeshijie8000 2011-10-15 01197 wodeshijie8000 2011-10-15 00:33
预览 verilog问题,什麽是过程语句先导的过程块?怎摸改?  ...23 longtime 2010-6-28 268443 lybuct 2011-10-13 16:30
预览 library ieee干嘛用的? 求教的柏拉图 2011-10-13 01338 求教的柏拉图 2011-10-13 16:20
预览 请问大家signal到底有是什么?跟port有什么区别? 求教的柏拉图 2011-10-13 21796 求教的柏拉图 2011-10-13 13:48
预览 vga 图像和字符 能否同时显示 xiaoyuer 2011-10-13 01085 xiaoyuer 2011-10-13 08:13
预览 一个led闪烁灯求解答 14730497 2011-10-10 22106 14730497 2011-10-13 00:59
预览 ISE里面用IP核生成的ROM 与自己写得ROM vvt 2011-10-12 13385 ddd 2011-10-12 20:12
预览 ADC的输入阻抗是什么? vvt 2011-10-12 01118 vvt 2011-10-12 20:10
预览 用quartus ii生成一个pll,输出频率什么的都正常,但就locked不正常,他一直是高阻态 vvt 2011-10-12 01149 vvt 2011-10-12 20:10
预览 quartus与modelsim联调 有谁比较熟悉? IPO 2011-9-17 11512 ddd 2011-10-12 18:03
预览 NIOS ii 明突然好想你 2011-10-12 11092 ddd 2011-10-12 18:02
预览 Nios II使用时出错了 hng1123 2011-4-8 21900 liujian228 2011-10-12 11:33
预览 关于FPGA设计微机保护装置的困惑 soccerman 2011-10-12 01006 soccerman 2011-10-12 10:48
预览 基于nios的ucos下驱动怎么加载 风中的承诺 2011-10-11 01082 风中的承诺 2011-10-11 21:29
预览 有谁调试过nios下ucosdm9000代码 风中的承诺 2011-10-11 01104 风中的承诺 2011-10-11 21:19
预览 跪求解答 急~~ champagne66 2011-10-8 11187 至芯_雷老师 2011-10-11 16:06
预览 求教:如何用VHDL编程实现两个相位相差90度的方波啊 xiasitai 2011-7-30 62491 xiasitai 2011-10-10 22:39
预览 为啥这程序波形实现不了辨向,求解释啊 xiasitai 2011-10-10 01149 xiasitai 2011-10-10 22:38
预览 程序错误 冉正国 2011-10-10 01038 冉正国 2011-10-10 22:10
预览 PADS培训,深圳PADS培训,信盈达让你高点入门 916110 2011-10-10 01388 916110 2011-10-10 11:34
预览 使用EZ-USB Control Panel控制面板找不到Cypress USB硬件,怎么解决? vvt 2011-9-24 31950 godcy 2011-10-10 11:04
预览 我的modelsim明明已经把xilinx的库编译进去了,为何在ISE设计中调用到一个FFT的IP, ccs 2011-10-8 21926 godcy 2011-10-10 10:58
预览 用PLL产生180度的时钟有问题 attachment  ...2 lzxylwq 2010-12-24 149123 daisywonder 2011-10-9 21:19
预览 请问有人使用过XILINX PCIE硬核吗 有问题想请假一下 eee 2011-10-9 12825 eee 2011-10-9 19:54
预览 请教夏老师一个问题 蓝余 2011-10-8 01278 蓝余 2011-10-8 11:01
预览 问下有谁用过Synopsys Design Compiler,这软件在哪里可以下到? ccs 2011-10-8 01720 ccs 2011-10-8 11:00
预览 PIC32的详细资料哪里有啊? ccs 2011-10-8 01364 ccs 2011-10-8 10:58
预览 谁能帮我解释下 System Generator for DSP和XPS ccs 2011-10-8 31504 ccs 2011-10-8 10:56
预览 FPGA emlair 2011-10-7 11310 111222 2011-10-8 05:17
预览 用matlab生成数据 初始化rom jahero 2011-10-7 11356 111222 2011-10-8 05:16
预览 求教关于2块FPGA板子互相通信的问题 hi-jiann 2011-10-7 01141 hi-jiann 2011-10-7 22:01
预览 nios碰到的问题 hpcsx 2011-10-2 11165 至芯_雷老师 2011-10-7 17:48
预览 哪位有cyclone II的中文版器件手册?麻烦分享下,多谢! hpcsx 2011-9-27 11346 至芯_雷老师 2011-10-7 08:13
预览 请问ISE里面的SCH文件可以到处为其它类型吗?比如PDF文件格式 IPO 2011-10-6 11303 至芯_雷老师 2011-10-7 07:54
预览 请问一下FPGA内部是不是有实现串口通信的硬件,类似单片机里面的那种 IPO 2011-10-6 11235 至芯_雷老师 2011-10-7 07:54
下一页 »

快速发帖

还可输入 80 个字符
您需要登录后才可以发帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-4-28 08:39 , Processed in 0.067485 second(s), 16 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

返回顶部 返回版块