集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
收藏本版 (8) |订阅

FPGA技术交流 今日: 0|主题: 12935|排名: 4 

作者 回复/查看 最后发表
预览 如何调用两个异步fifo实现乒乓操作 han840121 2011-4-18 43722 至芯_雷老师 2011-10-6 07:14
预览 在verilog中所调用的.dat文件是用文本写的吗?如何用Modelsim调用。 limao090407 2010-12-7 42124 ccs 2011-10-6 07:10
预览 先进先出存储器--FIFO attachment pengdan0905 2011-9-19 22398 mabang119407 2011-10-5 10:18
预览 我用的是quartus8.0版,用它做仿真时只能跑1us。 IPO 2011-10-5 11327 至芯_雷老师 2011-10-5 06:54
预览 求救:1602液晶的程序,不知道错在哪里。望指教,谢谢! hpcsx 2011-10-4 11060 hpcsx 2011-10-4 21:15
预览 用fpga可做单片机吗?????????? shuaibird 2011-9-30 11404 hpcsx 2011-10-2 09:07
预览 谁有iar for arm 5.40的key vvt 2010-10-21 11316 曳尾鱼 2011-9-30 14:18
预览 请问谁有破解Cadence Allegro SPB 16.2的经验?请教破解方法 vvt 2010-6-19 11946 曳尾鱼 2011-9-30 14:11
预览 请教~~~~~用fpga可做单片机吗?????????? shuaibird 2011-9-30 11975 至芯_雷老师 2011-9-30 10:56
预览 怎么产生CRC校验 chenzhi2037 2011-8-31 73221 至芯兴洪 2011-9-29 20:14
预览 谁能教教我怎样用VHDL产生个1Hz的脉冲啊 I2C 2010-11-1 22184 snowinmoon 2011-9-29 13:54
预览 求VHDL高手编程, attach_img xiong7700 2011-9-28 11466 snowinmoon 2011-9-28 14:00
预览 求高手补全下面的程序, 并说明程序完成的功能。 感激不尽! xiong7700 2011-9-28 31629 snowinmoon 2011-9-28 13:58
预览 求VHDL高手编程, attach_img xiong7700 2011-9-28 01293 xiong7700 2011-9-28 02:18
预览 关于TDC设计 玻色子 2011-9-27 01478 玻色子 2011-9-27 21:07
预览 哪位高手帮帮忙,关于使用专用进位链进行TDC设计 玻色子 2011-9-27 11305 CPLD 2011-9-27 20:47
预览 johnson计数器 attachment pengdan0905 2011-9-27 01171 pengdan0905 2011-9-27 11:11
预览 如果AD芯片的采样频率是1Mhz,那么该芯片输出的数据速率是不是也是1Mhz的? CPLD 2010-11-22 41950 guyibeijing 2011-9-26 22:43
预览 哪位能给个控制ADC的Verilog例子 AAT 2010-6-28 22082 guyibeijing 2011-9-26 22:42
预览 绝对新手提问 hpcsx 2011-9-26 21507 hpcsx 2011-9-26 22:37
预览 请问ADC中模拟和数字增益的作用是什么 IPO 2011-4-25 32292 guyibeijing 2011-9-26 22:32
预览 AD采集卡 damoshanhu 2011-5-12 11480 guyibeijing 2011-9-26 22:31
预览 关于QUARTS2软件问题 attachment 99aqaq 2011-9-26 01280 99aqaq 2011-9-26 17:55
预览 请各位高手给解决一下 destiny01 2011-9-26 01188 destiny01 2011-9-26 16:53
预览 对IP核实例化以后怎么没有用啊? vvt 2011-8-18 21563 wushimin6 2011-9-26 11:35
预览 quartus中如何查看模块的最快工作频率 wyatwl 2011-8-31 32567 wushimin6 2011-9-26 11:30
预览 inout使用中出现Illegal output or inout port connection (port 'a_in'). wodexiaobobo 2011-9-25 13590 ananaizheni 2011-9-25 21:11
预览 ISE中可以同时支持verilog和VHDL吗?哪位高手知道? cctv 2011-9-25 32418 vvt 2011-9-25 19:55
预览 用ISE中的IP核求三角函数时,好像两种方式都会出错,哪位可以解答一下啊? cctv 2011-9-25 01905 cctv 2011-9-25 19:30
预览 满足FPGA电源设计需求的DC/DC转换器 attach_img weibode01 2010-11-17 11371 家辉 2011-9-25 12:15
预览 VHDL+Verilog良好的代码编写风格(二十五条)  ...23 CHANG 2010-6-26 2314906 rswofxd 2011-9-24 22:43
预览 ucf 设置布局布线后 引脚 没锁定 MAPLIB 680 不知 2011-9-24 11605 不知 2011-9-24 22:12
预览 quartus中有个模块编译后被优化掉了 显示该模块Logic cells为0 是什么原因 请教谁知道 vvt 2011-9-21 22268 哦十全_至芯学员 2011-9-23 22:22
预览 FPGA工程师推荐:Xilinx ISE中的DCM xiao_liang 2011-9-23 01139 xiao_liang 2011-9-23 19:10
预览 morison 2011-9-22 21401 pengdan0905 2011-9-23 16:23
预览 Quartus II 9.0 安装有错误,求助啊~~~~ attach_img 绿茶盖儿 2011-9-22 21134 绿茶盖儿 2011-9-22 23:33
预览 什么是I2C总线协议?I2C总线协议的特点?I2C总线协议的术语? admin 2010-4-29 12824 longgangren 2011-9-22 22:50
预览 xilinx中offset约束问题 tao2000 2011-9-22 01671 tao2000 2011-9-22 22:00
预览 位同步时钟提取 attachment pengdan0905 2011-9-22 01269 pengdan0905 2011-9-22 08:52
预览 EP2C8Q208的PLL问题,使用至芯开发板 Normalizer.fw 2011-9-20 52070 Normalizer.fw 2011-9-21 22:09
下一页 »

快速发帖

还可输入 80 个字符
您需要登录后才可以发帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-4-28 05:44 , Processed in 0.066545 second(s), 16 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

返回顶部 返回版块