集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
收藏本版 (15) |订阅

FPGA资料下载 今日: 22 |主题: 19350|排名: 1 

作者 回复/查看 最后发表
预览 verilog 黄金指南 attachment  ...2 syh20092010 2010-12-16 126511 fpga_feixiang 2022-9-4 20:18
预览 项目二:基于FPGA的数据采集卡设计 attachment  ...23456..35 Python0291 2019-10-7 373119337 Python0291 2023-11-30 10:23
预览 ZX:FPGA学习资料汇总,涵盖案例、代码 attachment  ...23456..34 Python0291 2019-10-7 346134778 Python0291 2023-12-9 10:08
预览 基于FPGA的JPEG图像压缩芯片设计 attachment  ...2 老怪甲 2010-5-17 147164 dameihuaxia 2022-6-24 14:13
预览 基于Matlab对降采样FIR滤波器进行设计与硬件实现. attachment  ...2 老怪甲 2010-8-13 1310501 嘿哈嘿哈哈 2023-1-5 08:58
预览 基于FPGA“乒乓球比赛游戏机”的设计 attachment  ...2 老怪甲 2010-4-12 149920 雷磊 2023-3-16 14:56
预览 欧洲航天局的FPGA设计指南总结报告 attachment  ...2 IPO 2011-8-4 125392 嘿哈嘿哈哈 2022-12-10 09:08
预览 玩转FPGA attachment  ...2 竹林风 2010-8-18 137757 雷1314521景 2021-12-28 09:45
预览 VGA接口设计原理 下载 attachment  ...2 IPO 2010-6-21 116996 fpga_feixiang 2021-11-8 14:45
预览 VHDL分频器设计 attachment  ...2 老怪甲 2010-5-26 117008 fpga_feixiang 2022-1-17 21:40
预览 ZX:FPGA系统性基础资料入门教程 attachment  ...23456..34 Python0291 2019-10-7 343119665 Python0291 2023-12-9 10:08
预览 17阶FIR滤波器VHDL代码及说明文挡下载 attachment  ...2 老怪甲 2010-5-13 167502 宇xx 2023-4-8 16:18
预览 基于FPGA的CAN总线通信系统 attachment  ...2 V3_FPGA2011 2011-3-16 116580 fpga_feixiang 2022-2-17 15:35
预览 xilinx ise 11.1 序列号注册机生成器 attachment  ...2 老怪甲 2010-5-7 118694 fpga_feixiang 2022-3-3 16:25
预览 ip core(can/pwm/vga/i2c/video input) attachment  ...2 老怪甲 2010-5-14 188745 fpga_feixiang 2022-4-23 22:34
预览 用状态机设计的交通灯控制器 verilog hdl - [售价 2 金钱] attachment  ...2 老怪甲 2010-5-26 158694 fpga_feixiang 2022-4-24 22:18
预览 Verilog HDL 高级数字设计源码 - [售价 4 金钱] attachment  ...2 wz789800 2011-7-17 157357 fpga_feixiang 2022-4-27 12:14
预览 学习Modelsim脚本仿真的绝佳范例 attachment  ...2 老怪甲 2010-5-13 119037 fpga_feixiang 2022-4-27 12:14
预览 Quartus II 9.0 下载地址及license attachment  ...2 cjfwindy 2010-5-12 117810 fpga_feixiang 2022-5-4 21:49
预览 洗衣机控制程序(只需在QUARTUS中编译即可使用) attachment  ...2 老怪甲 2010-5-8 117748 fpga_feixiang 2022-5-4 21:58
预览 DDR_SDRAM源码 attachment  ...2 老怪甲 2010-5-17 128700 fpga_feixiang 2022-5-27 07:14
预览 至芯科技1103期FPGA工程师就业培训班培训心得  ...2 guanguan 2011-5-21 1211772 hanbo2012 2014-7-20 16:13
预览 本人用FPGA驱动VGA端口 希望对大家有帮助! attachment  ...2 lupengpeng 2011-3-1 146311 164300340 2014-10-22 21:39
预览 DDS的VERILOG原代码 该代码仅供网友学习参考,不得用于商业用途! attachment  ...2 老怪甲 2010-5-18 147411 stevekang 2015-5-31 13:53
预览 FPGA中的I/O时序优化设计 attachment  ...2 老怪甲 2010-5-20 123877 雷1314521景 2022-3-5 22:04
预览 FFT的资料主要用于FPGA设计 attachment  ...2 老怪甲 2010-5-12 146853 雷磊 2023-3-16 14:56
预览 VGA显示 attachment  ...2 damoshanhu 2011-5-11 155218 fpga_feixiang 2024-3-19 20:32
预览 Verilog速查手册 attachment  ...2 老怪甲 2010-5-25 103648 508482294 2022-2-19 16:55
预览 华为verilog attachment  ...2 d00043150 2010-12-16 113745 雷1314521景 2021-12-28 10:03
预览 FPGA--CPLD数字电路设计经验分享 attachment  ...2 老怪甲 2010-5-18 115685 雷磊 2023-2-27 13:48
预览 基于FPGA的简易频谱分析仪 attachment  ...2 老怪甲 2010-4-12 116216 雷磊 2022-8-31 14:10
预览 基于FPGA的载波调制系统 attachment  ...2 老怪甲 2010-4-12 105014 fpga_feixiang 2022-6-14 23:00
预览 FPGA专用术语汇总 attachment  ...2 vvt 2011-9-2 137018 dameihuaxia 2022-7-29 14:43
预览 基于FPGA的两种DDS实现.rar attachment  ...2 老怪甲 2010-11-1 117761 雷磊 2022-2-21 15:44
预览 FPGA设计中的时序问题应该怎样有效管理 attachment  ...2 老怪甲 2010-4-12 105008 fpga_feixiang 2022-2-23 15:23
预览 程序设计修养 attachment  ...2 老怪甲 2010-5-7 127673 fpga_feixiang 2022-3-11 20:04
预览 RAM的例子 新手可以参考一下。包括两个文件ram的module和测试module attachment  ...2 老怪甲 2010-5-26 103921 fpga_feixiang 2022-4-17 20:28
预览 CPU 设计 (加法器、乘法器、除法器等,ppt文件) attachment  ...2 老怪甲 2010-5-17 127190 fpga_feixiang 2022-5-4 21:57
预览 FPGA入门图文教程一|基于FPGA礼物开发板 attach_img  ...2 giftFPGA 2011-5-26 104106 fpga_feixiang 2022-5-4 22:10
预览 基于VerilogHDL的简易数字频率计设计 attachment  ...2 2120100904 2010-9-29 117065 fpga_feixiang 2022-5-12 21:18
下一页 »

快速发帖

还可输入 80 个字符
您需要登录后才可以发帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-5 23:06 , Processed in 0.122506 second(s), 17 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

返回顶部 返回版块