集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
楼主: Python0291

Verilog HDL设计时序

[复制链接]
 楼主| Python0291 发表于 2021-11-9 11:32:47 | 显示全部楼层
近期开班时间:2021年4月10日

至芯科技打造“FPGA 设计与研发”就业班系列, 可以让你从“小白”到“入门”再到“精通”。FPGA零基础入门,数字电路基础开始,初级到进阶提升,设计思想及设计方法深入理解,举一反三,Intel Quartus 、Xilinx Vivado、ISE等各类实操工具,动手实操,项目实战,讲解各类基础外设驱动,保证设计能力逐步加深;后期讲解 FPGA 设计理论和复杂外设、协议驱动实现,确保与现实企业研发对接。兴趣培养,简历指导,职业规划,推荐就业等,为你量身定制职业发展方案。
 楼主| Python0291 发表于 2021-11-9 11:34:31 | 显示全部楼层
近期开班时间:2021年4月10日

至芯科技打造“FPGA 设计与研发”就业班系列, 可以让你从“小白”到“入门”再到“精通”。FPGA零基础入门,数字电路基础开始,初级到进阶提升,设计思想及设计方法深入理解,举一反三,Intel Quartus 、Xilinx Vivado、ISE等各类实操工具,动手实操,项目实战,讲解各类基础外设驱动,保证设计能力逐步加深;后期讲解 FPGA 设计理论和复杂外设、协议驱动实现,确保与现实企业研发对接。兴趣培养,简历指导,职业规划,推荐就业等,为你量身定制职业发展方案。
 楼主| Python0291 发表于 2021-11-10 16:06:54 | 显示全部楼层
近期开班时间:2021年11月20日

至芯科技打造“FPGA 设计与研发”就业班系列, 可以让你从“小白”到“入门”再到“精通” 支持线上线下完成上课。
FPGA零基础入门,数字电路基础开始,初级到进阶提升,设计思想及设计方法深入理解,举一反三,Intel Quartus 、Xilinx Vivado、ISE等各类实操工具,动手实操,项目实战,讲解各类基础外设驱动,保证设计能力逐步加深;后期讲解 FPGA 设计理论和复杂外设、协议驱动实现,确保与现实企业研发对接。兴趣培养,简历指导,职业规划,推荐就业等,为你量身定制职业发展方案。
 楼主| Python0291 发表于 2021-11-11 15:23:36 | 显示全部楼层
21年11月20号:
FPGA工程师就业研修班开课:
学费只缴纳一次,后续开课可继续前来完成上课学习;
支持:线上线下上课;工程师手把手带教;
签订就业合同,至芯科技给予就业保证;
 楼主| Python0291 发表于 2021-11-12 16:10:59 | 显示全部楼层
什么是FPGA?fpga的应用范围有哪些?
https://www.bilibili.com/video/B ... 1311696146324369085
 楼主| Python0291 发表于 2021-11-13 10:19:24 | 显示全部楼层
什么是FPGA?fpga的应用范围有哪些?
https://www.bilibili.com/video/B ... 1311696146324369085
 楼主| Python0291 发表于 2021-11-15 16:28:55 | 显示全部楼层
 楼主| Python0291 发表于 2021-11-16 16:19:15 | 显示全部楼层
什么是FPGA?fpga的应用范围有哪些?
https://www.bilibili.com/video/B ... 1311696146324369085

至芯FPGA培训就业班课程视频实录
https://www.bilibili.com/video/B ... 8006239146289925324
 楼主| Python0291 发表于 2021-11-18 15:02:55 | 显示全部楼层
1、掌握FPGA结构和实现可编程开发原理
2、掌握FPGA设计流程,掌握modelsim、Quartus/ISE的使用
3、掌握Verilog HDL语法结构,可综合与不可综合编程
4、掌握组合逻辑和时序逻辑电路的设计方法
5、掌握verilog代码编写规范
6、掌握Verilog HDL设计的精华有限状态机FSM设计方法,掌握RTL设计方法
7、掌握基本IP核(pll/dcm、ram、rom、fifo)的调用
8、掌握FPGA在线逻辑分析仪SignalTap/ChipScope使用方法
9、了解FPGA基本开发技巧
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-4-29 03:10 , Processed in 0.073673 second(s), 26 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表