集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 1035|回复: 0

关于VHDL信号赋初值??

[复制链接]
zhiweiqiang33 发表于 2013-6-2 09:57:14 | 显示全部楼层 |阅读模式
根据小弟的了解,信号赋初值只有在仿真的时候有用,但是在综合下载的时候被自动被忽略。可是为什么我的课题中赋初值跟不赋初值不一样呢?
   SIGNAL flag:STD_LOGIC_VECTOR(2 DOWNTO 0):="000";  
   赋了初值就可以实现对应的功能,否则
   SIGNAL flag:STD_LOGIC_VECTOR(2 DOWNTO 0);  达不到想要的效果
   为什么呢??
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-18 13:48 , Processed in 0.111188 second(s), 23 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表