请选择 进入手机版 | 继续访问电脑版

集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
楼主: lcytms

FPGA初级课程第十四讲 PLL

[复制链接]
 楼主| lcytms 发表于 2016-11-17 17:18:18 | 显示全部楼层
将my_pll_inst.v代码拷贝粘贴到pll.v模块中,修改信号名。
module pll (clk, rst_n, clk_25M, clk_100M, locked);

        input clk, rst_n;
       
        output clk_25M, clk_100M;
        output locked;
       
        my_pll        my_pll_inst (
                .areset ( ~rst_n ),
                .inclk0 ( clk ),
                .c0 ( clk_25M ),
                .c1 ( clk_100M ),
                .locked ( locked )
                );

endmodule

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| lcytms 发表于 2016-11-17 17:21:14 | 显示全部楼层
新建仿真模块Testbench。

新建pll_tb.v模块,编写代码如下。
`timescale 1ns/1ps

module pll_tb;

        reg clk, rst_n;
       
        wire clk_25M, clk_100M;
        wire locked;

        pll dut (.clk(clk), .rst_n(rst_n), .clk_25M(clk_25M), .clk_100M(clk_100M), .locked(locked));
       
        initial
                begin
                        clk = 1;
                        rst_n = 0;
                        #200.1
                        rst_n = 1;
                       
                        #1000 $stop;
                end
       
        always #10 clk = ~clk;

endmodule

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| lcytms 发表于 2016-11-17 17:23:32 | 显示全部楼层
仿真运行结果

设置好仿真之后,可以看到仿真结果。
25 MHz时钟和100 MHz时钟均正常输出。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| lcytms 发表于 2016-11-17 17:24:47 | 显示全部楼层
查看RTL视图——altpll


本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| lcytms 发表于 2016-11-17 17:25:19 | 显示全部楼层
好了,今天的课程就讲到这里。
通过今天的课程,我们学习了PLL(锁相环)的基本概念,然后实际演示一下应用PLL(锁相环)IP核来进行锁相环逻辑电路的建模与仿真,并通过仿真查看效果。
希望大家掌握PLL(锁相环)的基本概念,并熟练运用Verilog语言调用对应的IP核编写相关逻辑。
更复杂的知识和技巧我们将逐步通过后面的课程展现给大家。

课程到此结束,谢谢大家的关注!
fpga_wuhan 发表于 2016-11-28 10:19:50 | 显示全部楼层
锁相环方面的值得学习
Adamancy 发表于 2016-12-1 11:12:32 | 显示全部楼层
                楼主厉害,
Adamancy 发表于 2016-12-3 17:24:53 | 显示全部楼层
                      感谢楼主分享
cwj0123 发表于 2019-6-19 15:54:57 | 显示全部楼层
有沒有altpll_reconfig 教學程序~~~想使用動態重配置的方法
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-3-29 22:41 , Processed in 0.070424 second(s), 17 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表