集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
楼主: lcytms

跟李凡老师学FPGA图像加速器之D01:图像处理基础(20170425课堂笔记)

[复制链接]
 楼主| lcytms 发表于 2017-12-8 09:40:01 | 显示全部楼层
1434
        发送打包文件夹sobelv14。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| lcytms 发表于 2017-12-8 09:41:01 | 显示全部楼层
1435
        指导设计。
        复制打包文件夹sobelv14,重命名为sobelv15。
        V15是最艰巨的一部分。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| lcytms 发表于 2017-12-8 09:42:12 | 显示全部楼层
本帖最后由 lcytms 于 2017-12-8 09:44 编辑

1436
        因为有了节拍分析,我们根据节拍分析的指导,修改我们的架构。
        方法都还是那些方法。
        这个呢,我们从什么时候开始算起呢?
        从状态机发出pr_send开始。
        第0拍,发出pr_send。
   

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| lcytms 发表于 2017-12-8 09:45:30 | 显示全部楼层
1437
        pr_send,发出地是状态机FSM,接收地是哪里?
        接收地是地址的gen,AG。
        第一拍依次会送出地址,mem_addr。
        Memory的地址送给谁?
        发出地是AG,接收地是哪?
        Memory。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
芙蓉王 发表于 2017-12-8 12:29:32 | 显示全部楼层
跟李凡老师学FPGA图像加速器之D01:图像处理基础(20170425课堂笔记)
陈飞龙 发表于 2017-12-9 09:17:00 | 显示全部楼层
硬件加速器的实际应用前景大有可为!!!
 楼主| lcytms 发表于 2017-12-11 09:26:24 | 显示全部楼层
1438
        AG的状态机已经有了,再看MEM的。
        跟它对齐的是什么?
        读,对。
        Mem_read。
        MEM第二拍发出mem_q。
        mem_q给谁?

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| lcytms 发表于 2017-12-11 09:28:53 | 显示全部楼层
1439
        发出地是MEM,接收地是哪个节点?
        PR,对。
        送是pr_send,当然我们现在需要把它送到PR去。
        PR节点是第三拍。
        然后对齐PR节点发一个控制信号,控制信号是什么?
        pr_load。
        输出的是pr。
        然后平移。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| lcytms 发表于 2017-12-11 09:30:18 | 显示全部楼层
1440
        到目前为止,我检索到的资料里面,做流水线的设计真正得心应手,能够做这个流水线,只有这个TP图,其它还真没做过。
        所以说,如果没有这个TP图的工具的规划设计,那真是调出来的,猜出来的。
        所以说,节拍分析这个工具非常重要。
        如果没有流水线的话,直接做一个作业的分析的话,实际上时序图也做得到,也可以看得到。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| lcytms 发表于 2017-12-12 09:14:19 | 显示全部楼层
1441
        只不过稍微乱一点,也能分析它。
        但是时序图无论如何它做不了流水线。
        做流水线只能用TP图。
        TP图,不同的节点在不同的节拍上面的动作。
        画平移信号。
        cr_send。
        发完前一行,就发当前行。
        指向AG。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-2 18:29 , Processed in 0.089020 second(s), 17 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表