集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
楼主: lcytms

跟李凡老师学FPGA图像加速器之D02:图像边缘滤波器(20170426课堂笔记)

[复制链接]
 楼主| lcytms 发表于 2018-1-21 22:00:24 | 显示全部楼层
1126
        指导设计。
        V17 全流水代码实现。
        Ctrl+S、Ctrl+K。
        修改顶层代码。
        顶层的状态机上、computer上都要加上这些信号。
     

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| lcytms 发表于 2018-1-28 20:55:35 | 显示全部楼层
1127
        指导设计。
        V17 全流水代码实现。
        加上rows_load、set_z两个信号的声明。
        在11行后面加。
  

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| lcytms 发表于 2018-1-28 20:56:43 | 显示全部楼层
1128
        指导设计。
        V17 全流水代码实现。
        Ctrl+S、Ctrl+K。
        有错误。
        到状态机上增加这两个信号。
        进fsm.v。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| lcytms 发表于 2018-1-28 20:57:55 | 显示全部楼层
1129
        指导设计。
        V17 全流水代码实现。
        状态机上增加rows_load、set_z这两个信号。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| lcytms 发表于 2018-1-28 20:58:55 | 显示全部楼层
1130
        指导设计。
        V17 全流水代码实现。
        输出声明成行为。
        789行。
        Start信号呢,稍后由我们同学自己加。
        在这就直接置位了。
        线性序列机的声明最简单,状态就是节拍。
        声明出来,reg。
        小节0123,2个bit,beat,就是小节。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| lcytms 发表于 2018-1-28 21:01:12 | 显示全部楼层
1131
        指导设计。
        V17 全流水代码实现。
        列我们要数到多少呢?
        列我们要数到149。
        肯定要7:0。
        现在呢,我按600*400来做,下午我们同学把它改成定制的。
        行要从0数到398,8:0。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| lcytms 发表于 2018-1-28 21:02:27 | 显示全部楼层
1132
        指导设计。
        V17 全流水代码实现。
        下面写线性序列机的第一段。
        线性序列机1/2段都是闭节点。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| lcytms 发表于 2018-1-28 21:03:48 | 显示全部楼层
1133
        指导设计。
        V17 全流水代码实现。
        写LSM_1S。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| lcytms 发表于 2018-1-28 21:04:57 | 显示全部楼层
1134
        指导设计。
        V17 全流水代码实现。
        写LSM_1S。
        怎么写呢?
        它无非就是,第一段动作是很简单的,小节运行到3,是加0。
        列就加一。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| lcytms 发表于 2018-1-28 21:05:59 | 显示全部楼层
1135
        指导设计。
        V17 全流水代码实现。
        写LSM_1S。
        if写要稍微小心一点,case写要简单一些。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-4-30 00:45 , Processed in 0.067030 second(s), 17 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表